Download free PDF

Photoresist Chemicals for Advanced Lithography Market – By type, By lithography technology, By End use – Global Forecast, 2025 – 2034

Report ID: GMI14998
   |
Published Date: October 2025
 | 
Report Format: PDF

Download Free PDF

Photoresist Chemicals for Advanced Lithography Market Size

The global photoresist chemicals for advanced lithography market was valued at USD 5.5 billion in 2024. The market is expected to grow from USD 6.1 billion in 2025 to USD 15.6 billion in 2034, at a CAGR of 11%, according to the latest report published by Global Market Insights Inc. Key growth drivers include increasing investments in Asia-Pacific, the commercialization of High-NA EUV, and progress in 3D packaging technologies are key growth drivers for the market.

Photoresist Chemicals for Advanced Lithography Market

The global photoresist chemicals for advanced lithography market is entering a transformational phase due to the faster adoption of the sub-7nm and sub-5nm process nodes, adopted EUV usage, and demand increase in advanced markets (i.e. AI processors, 5G chipset, and automotive semiconductors).
 

A key growth driver has been the commercial rollout of EUV (Extreme Ultraviolet) lithography, at 13.5 nm wavelengths, to enable patterning 5 nm line widths. The market for chemically amplified resists (CARs) and metal-oxide-based EUV photoresists have grown significantly. In 2024 alone, EUV shipments represented over 25% market share of all advanced photoresists' total revenues, which are expected to increase significantly to over 45% market share by 2034 due to the implementation of High-NA EUV, by tier-1 foundries like TSMC, Intel, and Samsung.
 

The memory and logic IC sectors are the largest end-use verticals, accounting for roughly 60% of overall photoresist chemicals for advanced lithography market share in 2024. The rising need for higher capacity DRAM and 3D NAND with ultra-thin feature sizes has shifted the use of new resist materials, especially for 193nm ArFi immersion and next-generation EUV platforms. Vendors continue to invest significant R&D to enhance control of line-edge roughness (LER) and the sensitivity-to-resolution tradeoff in EUV photoresists.
 

At the same time, the Advanced Packaging segment which includes wafer-level packaging (WLP), 3D packaging, and system-in-package (SiP) is developing into a strong contributor to growth. The segment is expected to grow at 13.5% CAGR through 2034, driven in great part by thick negative-tone photoresists like epoxy-based SU-8, which are essential for redistribution layers (RDLs) and TSVs. North America photoresist chemicals for advanced lithography market had a 18% share in 2024 and is now ramping up its segment share once again through new capacity additions which are primarily driven by the CHIPS Act and Intel's investment in EUV facilities.
 

Specifically, the move to High-NA EUV, hybrid lithography (DUV + EUV), and directed self-assembly (DSA) methodologies is changing the resist chemistry landscape. Key players in this field, such as JSR, TOK, Dongjin Semichem, and Fujifilm, have adjusted their product roadmaps to match the commercial readiness of 2nm and 1.4nm, representing a definitive shift from traditional KrF/i-line resists to new EUV specific platforms.
 

The R&D landscape remains a bustling environment, with many partnerships among semiconductor consortia, universities, and resist suppliers working synergistically to co-develop metal-organic or inorganic high-sensitivity resists. Other organizations such as Interuniversity Microelectronics Centre (IMEC) and MIT have launched consortia for next generation resist that address the current stochastics and LWR limitations seen in EUV platforms, while others will make chemistry an aspect of the next generation rests.
 

Photoresist Chemicals for Advanced Lithography Market Trends

  • High-NA EUV (0.55 NA) lithography, representing a fundamental improvement over present 13.5 nm EUV capabilities, is perhaps the most significant trend in the advanced photoresist market. Prominent manufacturers, including ASML, Intel, and imec, are advancing High-NA EUV to achieve 2nm and 2nm-and-below nodes. This evolution requires resist chemistries that yield ultra-high resolution (EUV process), minimize stochastics (exposure and processing), and reduce line-edge/width roughness (LER/LWR).
     
  • Consequently, a completely new class of EUV photoresists specifically inorganic metal-oxide and hybrid organic-inorganic resists will be necessary. High-NA EUV tools are expected to be ready for pilot production by 2025, prompting suppliers such as JSR, Fujifilm, and Shin-Etsu to validate resist performance in processing conditions with higher aspect ratios and new “soak” conditions.
     
  • There is a heightened unmet need for application-specific photoresists developed for emerging device categories such as 3D NAND, system-on-chip (SoC), MEMS, CMOS image sensors, and power devices. Conventional resists optimized for bulk logic/memory fabrication might not yield acceptable performance in non-standard dimensions or high aspect topographies.
     
  • A key area includes 3D packaging and fan-out wafer-level packaging (FOWLP) that requires thick negative-tone, or epoxy-based photoresists, capable of multifaceted builds and deep features. This will motivate research and development into multi-layer resist stacks, solvent-free formulations, or resist-flow optimizations and new ways to explore value-adding opportunities for the resists beyond cutting-edge logic. 
     
  • The momentum behind a trend of increasingly deep collaboration between photoresist suppliers and semiconductor foundries is being built. For example, leading semiconductor manufacturers such as Intel, Samsung, or TSMC are working with resist suppliers for co-development of EUV and High-NA resist chemistries.
     
  • These partnerships can take a myriad of forms, like leveraging shared pilot fabs, inline testing environments, and committing to supply based performance and value metrics. For smaller fabs, vertical integration coupled with solid joint venture(s) can access next-generation resists and avoid internal R&D obstacles multiple times over. This ultimately helps close the loop with respect to litho hardware, material science, and fab deployment.
     

Photoresist Chemicals for Advanced Lithography Market Analysis

Photoresist Chemicals for Advanced Lithography Market, By Product, 2021 - 2034 (USD Billion)

Based on product, the market is divided into positive photoresists, and negative photoresists. Positive photoresists segment generated a revenue of USD 3.4 billion in 2024, and it is expected to reach USD 9.5 billion in 2034 at a CAGR of 10.7% in the forecasted period.
 

  • The global photoresist chemicals market is still primarily represented by positive photoresists, which can be attributed to over 62.5% of market share during 2024. The prime advantage contributed to the ongoing popularity of positive photoresists is the superior resolution performance, process latitude and compatibility with advanced lithography processes such as 193nm ArF immersion and Extreme Ultraviolet (EUV) systems.
     
  • The positive tone sub-sector of the photoresist market is dominated by chemically amplified resists (CARs). CARs provide high sensitivity and residence for sub-10nm geometries, as they are deemed critical for achieving high process accuracy. Major players such as JSR, TOK and Fujifilm are aggressively expanding their offerings, with low line-edge roughness (LER) CAR materials to meet the high overlay control and pattern fidelity in application areas such logic and memory.
     
  • The focus of positive photoresist innovation currently includes improving etch resistance, developing modular options of photoresist platform, and reducing secondary electron blur in EUV lithography processes, which is one of the leading factors contributing to yield at small dimensions.
     
  • Emerging contenders for the sub-5nm fabrication market include CAMS or metal-oxide infused positive resists, will likely serve as alternatives in future processes, because of the enhanced absorption of EUV, and better thermal stability.
     
  • Economically, positive photoresists offer a better cost-to-performance ratio over negative photoresists in their application in full volume production. The use of positive photoresists in highly routine filled high volume fab applications in the microchip and semiconductor market (covering application areas from 65nm all the way to 3nm nodes) is more robust than negative photoresists.
     
Photoresist Chemicals for Advanced Lithography Market, By End Use, (2024)

Based on end use, the photoresist chemicals for advanced lithography market is divided into semiconductor device fabrication, MEMS devices, display electronics applications, advanced packaging applications, and photomask manufacturing. In 2024, semiconductor device fabrication segment held major market share of 69.5%.
 

  • The demand for high purity, high performance four photoresist materials used in advanced lithographic processes for logic, memory, analog, and AI-focused chips is the reason for the commanding share of this segment. Because of the increasing complexity of multi-patterning steps and the adoption of High-NA EUV, logic devices, specifically CPUs, GPUs, and SoCs produced at 5nm, 3nm, and soon 2nm nodes, consume the most photoresists.
     
  • Also, memory devices particularly DRAM and 3D NAND are driving significant growth as a semiconductor device sector. 3D vertical stacking in flash NAND requires thick, high-aspect-ratio photoresists requiring the application of advanced processes with custom photo resisting materials. DRAM devices employ precision controllable thin photoresists for contact holes and line-space features.
     
  • Lastly, semiconductor segments such as AI processors, neuromorphic chips, and RFICs for 5G are increasing demand for custom photoresists even more as aggressively push resolution and material compatibility beyond traditional lithographic processes.
     
U.S. Photoresist Chemicals for Advanced Lithography Market Size, 2021- 2034 (USD Million)
  • The U.S. photoresist chemicals for advanced lithography market generated a revenue of USD 817.4 million in 2024. The U.S. market is projected to grow at a CAGR of 10.8% reaching USD 2.3 billion by 2034. The photoresist market in North America is changing due to semiconductor revitalization policies backed by legislation in the United States, particularly the CHIPS and Science Act, which is stimulating domestic chip manufacturing. This legislation impacts the demand for domestically sourced photoresist and advanced lithography materials, in part due to new fabs being expanded or built in the U.S. by customers like Intel, GlobalFoundries, and Micron.
     
  • Several startups located in the United States and materials science companies are using government R&D grants to develop next-generation EUV (extreme ultraviolet) and High-NA (numerical aperture) compatible resists in an effort to fulfill the national goal of supply chain resilience. Additionally, increased funding in electronics that are defense-enabled or for chips designed for AI technology, as well as silicon photonics, is requiring very specialized patterning solutions, thereby enhancing photoresist consumption into both "leading edge" and "legacy" nodes.
     
  • While the North America region still imports a high percentage of its resist chemical from Japan and Korea, there is increasing emphasis on IP development domestically and partnerships for regional production.
     
  • Europe photoresist chemicals for advanced lithography market generated a revenue of USD 550 million in 2024. Europe market is projected to grow at a CAGR of 11% reaching USD 1.6 billion by 2034. The photoresist market in Europe is strongly affected by the regional push for technological sovereignty, especially with the European Chips Act, which directs more than USD 43 billion to the support and development of the European semiconductor manufacturing ecosystem. The region does not seem to have any domestic giants in terms of resist, but companies like Merck Group and Allresist GmbH in Europe are becoming more important through strategic engagements with equipment manufacturers and research institutes.
     
  • An important trend is the integration of photoresist innovation with the development of photomasks and lithography equipment, as exemplified by strategic partnerships with ASML in the Netherlands and IMEC in Belgium. The region is also emphasizing eco-compliant resist formulations, due in part to strict EU environmental regulations (REACH), and consequently is developing a reputation as a hotbed of green resists and low-VOC technologies. The push for autos, IoT, and industrial electronics continues to drive regional demand, particularly by Germany, France, and the Nordic countries.
     
  • Asia Pacific photoresist chemicals for advanced lithography market generated a revenue of USD 3.7 billion in 2024. Asia Pacific leads the global market for photoresists chemicals with more than 67% of global market share for 2024, driven by high volume manufacturing in Taiwan, South Korea, Japan and increasingly China.
     
  • China has made significant investments in self-sufficiency for photoresist in the context of "Made in China 2025" with over 50 native companies incorporated into the local resist supply chain since 2020. South Korea is pivoting to advanced packaging lithography driven by 3D NAND and SiP road mapping from Samsung and SK Hynix. Finally, R&D consortia across APAC are advancing new resist chemistries for High-NA and NIL solutions.
     
  • Latin America photoresist chemicals for advanced lithography market is still an early market for photoresist chemicals, but there is slow uptake occurring via regional integration into the global semiconductor value chain. Brazil and Mexico are establishing themselves as advanced packaging and testing centers, due to lower labor costs and proximity to North America.
     
  • Brazil's National IoT Strategy and Mexico's entry into U.S. semiconductor reshoring initiatives have begun to support investment in lithography materials, which include photoresist chemicals. With government interest in building semiconductor education and R&D centers, there could be a long-term opportunity for valuable skills around the application and testing of resists. However, the lack of domestic fabrication facilities for additional resist R&D are barriers to short-term growth.
     
  • The Middle East and Africa region is an emerging market for semiconductor-related innovation, albeit still focused on design, AI chip acceleration and commercial research collaborations, rather than producing material.
     
  • In Israel, the ecosystem is growing through partnership models focused on R&D between local startups, ARM licensees, and global integrated circuit players, resulting in niche demand for advanced lithography materials to be manufactured for the ecosystem. The UAE and Saudi Arabia have national semiconductor strategies tied to Vision 2030 as part of their economic diversification goals, leading to announcements of specialized hubs for photonics and chip packaging.
     

Photoresist Chemicals for Advanced Lithography Market Share

The worldwide market for photoresist chemicals for advanced lithography is concentrated, with the top five competitors, JSR Corporation, Tokyo Ohka Kogyo (TOK), Fujifilm Electronics Materials, Shin-Etsu Chemical, and Dongjin Semichem, expected to account for over 50% of the global market share in 2024. The industry's leaders are well-established companies with lucrative, long-standing relationships with major semiconductor foundries and a strong intellectual property (IP) portfolio in EUV and ArFlip resistance chemistries.
 

Companies are making substantial investments in next-generation EUV and High-NA EUV resistance platforms; JSR and TOK are at the forefront of the commercial deployment of EUV chemistry through collaborations with Intel, Samsung and TSMC. Fujifilm and Shin-Etsu are also emerging around chemically amplified resists (CARs) and metal-containing resists targeting nodes below 2nm.
 

Differentiators in the photoresist chemicals for advanced lithography market will continue to be product performance factors such as customization, etch resistance, control of line-edge roughness (LER), and sensitivity metrics, while price differentiation will be up to the secondary category, since the material performance attributes, which are meaningful at critical dimensions, hold more weight in the overall strategic competitiveness for a fab.
 

The marketplace also sees an increase in the community of joint ventures (JVs) and/or R&D collaborations. Examples of these collaborations include IMEC-JSR, Fujifilm-Samsung and TOK-ASML. Many manufacturers also partner together to co-design resist chemistries for specific use cases related to lithography hardware and process.
 

Photoresist Chemicals for Advanced Lithography Market Companies

Major players operating in the photoresist chemicals for advanced lithography industry are:

  • Brewer Science, Inc.
  • Dongjin Semichem Co., Ltd.
  • Dow
  • Eternal Materials Co., Ltd.
  • Fujifilm Holdings Corporation
  • Inpria Corporation
  • Irresistible Materials Ltd.
  • Jiangsu Nata Opto-electronic Material Co., Ltd.
  • JSR Corporation
  • Kayaku Advanced Materials
  • Merck KGaA
  • Micro Resist Technology GmbH
  • Shin-Etsu Chemical Co., Ltd.
  • Sumitomo Chemical Company
  • Tokyo Ohka Kogyo Co., Ltd.
     

JSR Corporation: JSR is renowned worldwide as a pioneer in the development of advanced photoresist technology and was one of the first companies to commercialize chemically amplified EUV resists. JSR retains strong partnerships with imec and TSMC. JSR develops EUV and High-NA photoresists around the needs of their customers, focusing on the performance of their metal-containing photoresists and the collaboration with customers on sub-2nm nodes and co-development.
 

Tokyo Ohka Kogyo (TOK): TOK can influence the market with a substantial assortment of resists, including 193i, KrF, and EUV. TOK employs a vertical integration strategy from monomers all the way to finish resists and tailors each fab’s process chemistry for deeper customer lock-in. More custom implementations for process chemistries were advanced beyond customer expectations. Innovation with negative-tone resists and thick resists for advanced packaging is stressed.
 

Fujifilm Electronic Materials: Fujifilm has been enhancing their high resolution, low-LER photoresists and multi-functional multi-layer resist systems for EUV lithography. Fujifilm employs their extensive organic chemistry and coating expertise to solve the intricacies of 3D NAND and logic layer patterning. To support their global fabs, Fujifilm is expanding their manufacturing infrastructure in the US and Japan.
 

Shin-Etsu Chemical: Shin-Etsu Chemical is the primary Japan-based manufacturer offering immersion and ArF photoresists for the market with a focus on high-aspect ratio features. Shin-Etsu serves a key role in the supply chain for the DRAM and logic chipmaking sectors and has developed a strong capability in synthesizing base polymers which allows the company to optimize the photoresists for stability and consistency over lengthy processing intervals.
 

Dongjin Semichem: Dongjin is gaining traction, especially in the EUV and advanced DUV photoresist markets and is starting to gain market share with volume supply to the Samsung 3nm fab. Under strong government guidance, Dongjin is building its position as a domestic alternative to Japanese suppliers and is pursuing aggressive R&D on next gen photoresists as well as in fab pilot tests with their photoresists.
 

Photoresist Chemicals for Advanced Lithography Industry News

  • In September 2023, JSR Corporation announced that through IMEC's pilot line, it has qualified its next generation metal-oxide EUV resist for High-NA EUV lithography.
     
  • In July 2023, Tokyo Ohka Kogyo (TOK) announced a collaborative development agreement with Intel to develop photoresists for next-generation sub-2nm processes.
     
  • In June 2025, Fujifilm Electronics Materials announced that it has completed an expansion to its photoresist manufacturing facility in Kumamoto, Japan, with a 30% increase in capacity for EUV resists due to TSMC's increasing usage.
     
  • In April 2025, Shin-Etsu Chemical announced the launch of their new low LER chemically amplified resist (CARs) that are optimized for 193nm immersion lithography for advanced packaging applications.
     
  • In February 2025, Dongjin Semichem officially began supplying EUV photoresists to Samsung Foundry for its 3nm production lines as its first commercial business for helps semi-conductor makers' EUV requirements in this node.
     
  • In December 2024, Merck KGaA made the announcement that there will be a USD 35 million investment in the photochemistry R&A facility in Darmstadt, Germany with focus on sustainable and high-NA lithography materials.
     

The photoresist chemicals for advanced lithography market research report includes in-depth coverage of the industry, with estimates & forecast in terms of revenue (USD Million) & volume (Tons) from 2021 to 2034, for the following segments:

Market, By Type

  • Positive photoresists
    • Acrylate-based photoresists
    • Novolac-based systems
    • Poly (methyl methacrylate) (PMMA)
  • Negative photoresists
    • Epoxy-based
    • Silicon-containing resists
    • Metal-based resists

Market, By Lithography Technology

  • Duv lithography
    • 248nm krf lithography
    • 193nm dry lithography
    • 193nm immersion lithography (ARFI)
  • Extreme ultraviolet (EUV) lithography
    • Euv @ 13.5 nm
    • High-na euv
  • I-line lithography (365 nm)
  • Nanoimprint lithography (NIL)
  • E-beam lithography

Market, By End Use

  • Semiconductor device fabrication
    • Logic devices
    • Memory devices
    • Edge devices
    • Image sensors
  • MEMS devices
    • Automotive MEMS
    • Consumer electronics MEMS
    • Industrial & healthcare MEMS
  • Display electronics applications
    • LCD manufacturing
    • OLED display production
    • Next-generation displays
  • Advanced packaging applications
    • 3d packaging
    • System-in-package (SIP)
    • Wafer-level packaging (WLP)
  • Photomask manufacturing
    • EUV mask
    • DUV mask

The above information is provided for the following regions and countries:

  • North America 
    • U.S.
    • Canada
  • Europe 
    • Germany
    • UK
    • France
    • Spain
    • Italy
    • Rest of Europe
  • Asia Pacific 
    • China
    • India
    • Japan
    • Australia
    • South Korea
    • Rest of Asia Pacific
  • Latin America 
    • Brazil
    • Mexico
    • Argentina
    • Rest of Latin America
  • Middle East and Africa 
    • Saudi Arabia
    • South Africa
    • UAE
    • Rest of Middle East and Africa
       
Authors: Kiran Pulidindi, Kavita Yadav
Frequently Asked Question(FAQ) :
Who are the key players in the photoresist chemicals for advanced lithography market?
Key players include JSR Corporation, Tokyo Ohka Kogyo Co., Ltd. (TOK), Fujifilm Holdings Corporation, Shin-Etsu Chemical Co., Ltd., and Dongjin Semichem Co., Ltd., collectively accounting for around 50% of the market share in 2024.
What are the upcoming trends in the photoresist chemicals for advanced lithography industry?
Key trends include the rollout of High-NA EUV lithography, collaboration between resist suppliers and foundries, development of inorganic and metal-oxide resists, and rising demand from AI, 3D NAND, and MEMS applications.
Which region leads the photoresist chemicals for advanced lithography market?
The U.S. market generated USD 817.4 million in 2024 and is expected to reach USD 2.3 billion by 2034. Growth is driven by domestic chip manufacturing under the CHIPS and Science Act and increasing investment in EUV facilities by Intel, Micron, and GlobalFoundries.
What is the growth outlook for the advanced packaging applications segment from 2025 to 2034?
Advanced packaging applications are projected to grow at a CAGR of 13.5% till 2034.
What is the market size of the photoresist chemicals for advanced lithography industry in 2024?
The market size was USD 5.5 billion in 2024, with a CAGR of 11% expected through 2034 driven by the surge in EUV and High-NA EUV adoption across leading-edge semiconductor fabs.
What is the current photoresist chemicals for advanced lithography market size in 2025?
The market size is projected to reach USD 6.1 billion in 2025.
What is the projected value of the photoresist chemicals for advanced lithography market by 2034?
The photoresist chemicals for advanced lithography industry is expected to reach USD 15.6 billion by 2034, supported by commercialization of High-NA EUV systems, rising 3D packaging demand, and expansion of global fab capacity.
How much revenue did the positive photoresists segment generate in 2024?
Positive photoresists generated USD 3.4 billion in 2024, accounting for over 62.5% of the global market.
What was the valuation of the semiconductor device fabrication end-use segment in 2024?
The semiconductor device fabrication segment held 69.5% market share in 2024.
Photoresist Chemicals for Advanced Lithography Market Scope
  • Photoresist Chemicals for Advanced Lithography Market Size
  • Photoresist Chemicals for Advanced Lithography Market Trends
  • Photoresist Chemicals for Advanced Lithography Market Analysis
  • Photoresist Chemicals for Advanced Lithography Market Share
Authors: Kiran Pulidindi, Kavita Yadav
Trust Factor 1
Trust Factor 2
Trust Factor 1
Premium Report Details

Base Year: 2024

Companies covered: 15

Tables & Figures: 211

Countries covered: 22

Pages: 192

Download Free PDF

Top
We use cookies to enhance user experience. (Privacy Policy)