Télécharger le PDF gratuit

Taille du marché des équipements de lithographie ultraviolette extrême (EUV) - Par type de technologie, par type d'équipement, par application de nœud technologique, par type d'utilisation finale et par secteur d'utilisation finale, prévisions de croissance, 2025-2034

ID du rapport: GMI15195
|
Date de publication: November 2025
|
Format du rapport: PDF

Télécharger le PDF gratuit

Taille du marché des équipements de lithographie aux ultraviolets extrêmes

Le marché mondial des équipements de lithographie aux ultraviolets extrêmes était estimé à 8,66 milliards de dollars en 2024 avec un volume de 40 unités en 2024. Le marché devrait croître de 9,71 milliards de dollars en 2025 à 18,38 milliards de dollars en 2030 et 33,91 milliards de dollars d'ici 2034 avec un volume de 142 unités, à un taux de croissance annuel composé en valeur de 14,9 % et en volume de 13,8 % sur la période de prévision de 2025 à 2034.
 

Marché des équipements de lithographie aux ultraviolets extrêmes (EUV)

  • Le marché des équipements de lithographie EUV connaît une croissance soutenue en raison du passage de l'industrie des semi-conducteurs vers des nœuds de processus modernes, ainsi que de l'augmentation de la complexité des électroniques modernes. La valeur du marché de 8,66 milliards de dollars en 2024 souligne l'importance de la technologie EUV pour les capacités futures de fabrication de semi-conducteurs.
     
  • La croissance de 9,71 milliards de dollars en 2025 à 33,91 milliards de dollars en 2034 représente un taux de croissance annuel composé de 14,9 %, bien supérieur à la croissance des marchés de lithographie. Cela est directement dû à l'augmentation dramatique des déploiements de systèmes EUV. Les feuilles de route de l'industrie indiquent que les principales fonderies prévoient d'installer plus de 500 systèmes EUV au total d'ici 2030.
     
  • La croissance de la taille du marché est entraînée par l'économie unitaire de l'adoption de l'EUV, dans laquelle le coût des systèmes allant de 200 à 400 millions de dollars est éclipsé par la capacité de la technologie à fabriquer des nœuds avancés qui ne seraient autrement productifs que par des techniques de multiples motifs complexes et coûteuses. Le calcul du marché adressable total va au-delà des systèmes de scanners EUV pour inclure l'ensemble de la chaîne de valeur composée de sources lumineuses, d'optique, de masques, de métrologie et de support.
     
  • La taille du marché par région reflète la distribution de la fabrication avancée de semi-conducteurs, l'Asie-Pacifique, qui comprend TSMC et Samsung, capturant 56,2 % de la valeur du marché. La part de 25,2 % de l'Amérique du Nord est générée par le déploiement agressif de l'EUV par Intel et la demande de nœuds avancés par les principales entreprises fabless.
     
  • L'approche d'évaluation du marché inclut la valeur directe des équipements vendus et le système de marché couvrant les contrats de service, les consommables et la valeur des mises à niveau des systèmes. Le revenu annuel de service par système EUV, qui se situe entre 15 et 25 millions de dollars, est crucial pour comprendre l'évaluation complète du marché couplée aux revenus récurrents pour les fournisseurs d'équipements.
     

Tendances du marché des équipements de lithographie aux ultraviolets extrêmes

  • Le facteur principal conduisant la croissance du marché est la transition de l'industrie de la lithographie par immersion conventionnelle à 193 nm à l'EUV pour la fabrication de nœuds avancés. Ce changement changera fondamentalement la manière dont le processus de fabrication des semi-conducteurs est exécuté, passant de méthodes de multiples motifs compliquées à une méthode d'exposition unique plus simple utilisant l'EUV. La chronologie de transition démontre une adoption massive accélérée, comme l'illustre le nombre de couches EUV par puce. Celui-ci est passé de 5 à 10 couches dans les nœuds de 7 nm à 15 à 20 couches dans les nœuds de 3 nm, avec des projections atteignant 25+ couches dans la fabrication de 2 nm.
     
  • L'introduction de systèmes à haute ouverture numérique (NA) d'ultraviolets extrêmes (EUV) implique la prochaine évolution de la lithographie pour atteindre la résolution nécessaire au traitement des nœuds de 2 nanomètres (nm) et inférieurs à 2 nm. Les systèmes EUV à haute NA utilisent des optiques avec une ouverture numérique de 0,55 plutôt que de 0,33, atteignant des tailles de caractéristiques minimales de 8 nm contre 13 nm.Voici le contenu HTML traduit en français : Ce progrès en matière de résolution est crucial pour maintenir la loi de Moore au-delà des limites connues et définies des technologies existantes. Par exemple, le système TWINSCAN EXE:5000 High-NA EUV d'ASML est vendu entre 370 et 400 millions de dollars américains, contre 200 millions de dollars pour leurs systèmes EUV standard, et a été livré en premier à Intel en décembre 2023, puis à TSMC fin 2024. Les feuilles de route pour le déploiement des machines EUV High-NA publiées par les fonderies leaders indiquent des plans d'installation à partir de 2024-2025 pour développer des nœuds de 2 nm, avec un déploiement pour la production en volume en 2026-2027.
     
  • Une autre raison majeure de la croissance du marché est le passage de la lithographie par immersion à 193 nm, ancienne technologie, à la technologie EUV pour la fabrication de nœuds avancés et son adoption dans l'industrie. Ce changement signifie une modification de l'approche de la fabrication des semi-conducteurs, qui inclut l'abandon du procédé de gravure multicouche complexe pour la fabrication avancée et l'utilisation des méthodologies de gravure unique EUV. Les taux d'adoption suggèrent une croissance similaire à celle du nombre de couches EUV par puce, qui devrait passer de 5 à 10 pour le nœud de 7 nm à 25 pour le nœud de 2 nm, et de 15 à 20 pour le nœud de 3 nm.
     

Analyse du marché des équipements de lithographie aux ultraviolets extrêmes

Marché des équipements de lithographie aux ultraviolets extrêmes, par type de technologie, 2021-2034 (milliards de dollars américains)

Sur la base du type de technologie, le marché est divisé en systèmes EUV standard et systèmes EUV High-NA.
 

  • Les systèmes EUV standard fonctionnent encore avec la lithographie par immersion à 193 nm avec une optique d'ouverture numérique de 0,33, capables de fabriquer les nœuds de processus de 7 nm, 5 nm et même les premiers nœuds de 3 nm. Cela montre comment l'industrie passe progressivement des méthodes plus anciennes à la nouvelle technologie EUV (ultraviolets extrêmes).
     
  • Ces systèmes EUV standard atteignent des tailles de caractéristiques minimales d'environ 13 nm et sont suffisamment rapides pour une production réelle, traitant environ 170 à 200 plaquettes par heure. Un TCAC de 14,5 % est prévu pour les systèmes EUV standard, ce qui reflète le déploiement continu pour les nœuds avancés établis et l'expansion dans les applications mémoire.
     
  • Les systèmes EUV High-NA sont des systèmes de lithographie en développement, qui possèdent une ouverture numérique plus élevée (0,55 NA), ce qui leur permet d'imprimer des caractéristiques encore plus petites, capables d'atteindre des tailles de caractéristiques minimales de 8 nm nécessaires pour les nœuds de processus de 2 nm et inférieurs à 2 nm. Le TCAC de 17,6 % pour les systèmes High-NA reflète la migration de la technologie de la R&D vers la production précoce réalisée par Intel et TSMC en 2023-2024.
     
  • Bien que les systèmes High-NA aient un coût exorbitant de 370 à 400 millions de dollars américains, soit plus que les systèmes EUV conventionnels, ils économisent de l'argent et de la complexité à long terme car ils réduisent le besoin d'étapes supplémentaires appelées double gravure, nécessaires dans les anciens systèmes pour créer des caractéristiques très petites.

 

Marché des équipements de lithographie aux ultraviolets extrêmes, par type d'équipement, 2024

Sur la base du type d'équipement, le marché des équipements de lithographie aux ultraviolets extrêmes est segmenté en scanners EUV, systèmes optiques EUV, sources de lumière EUV, masques et supports EUV, équipements de métrologie et d'inspection EUV, systèmes de support EUV et systèmes logiciels et informatiques EUV.
 

  • Les divisions de types d'équipements mettent en évidence l'écosystème détaillé nécessaire à l'exécution de la lithographie EUV, les scanners EUV détenant la plus grande part de marché de 50,3 % et devraient croître avec un TCAC de 15,8 % d'ici 2034. La puissance des scanners forme le cœur du processus de lithographie, et ils sont extrêmement coûteux, chacun coûtant entre 200 et 400 millions de dollars USD selon ses fonctionnalités.
     
  • Les systèmes optiques EUV représentent 15 % de la part de marché avec un TCAC de 14,3 % et comprennent les miroirs de précision, les collecteurs et les composants optiques qui assurent la performance du système EUV. ZEISS a des accords d'approvisionnement exclusifs avec ASML pour les optiques EUV, chaque système nécessitant 10 à 11 miroirs de précision, ce qui impose des exigences élevées aux fabricants en termes de précision à l'échelle des picomètres.
     
  • Les sources de lumière EUV représentent 14,2 % de la part de marché avec un TCAC de 15 %, représentant l'un des composants les plus techniquement exigeants de l'écosystème EUV. Les systèmes actuels utilisent des lasers CO2 puissants pour frapper de minuscules gouttelettes d'étain, produisant une lumière EUV de 250 à 300 watts. Pour répondre aux exigences des scanners High-NA, la puissance de la source doit être portée à plus de 500 watts, ce qui en fait un domaine clé de développement.
     

Sur la base de l'application des nœuds technologiques, le marché des équipements de lithographie aux ultraviolets extrêmes est divisé en nœud logique 7 nm, nœud logique 5 nm, nœud logique 3 nm, nœud logique 2 nm, nœuds logiques inférieurs à 2 nm, DRAM avancé (classe 10 nm et inférieure) et NAND Flash avancé.
 

  • Les applications du nœud logique 7 nm sont en tête avec une part de marché de 29,1 % et un TCAC de 14 %. Ce segment est la première mise en œuvre commerciale significative de la technologie EUV, où l'économie de l'EUV par rapport au multipatterning a atteint un point d'équilibre pour la fabrication en grande série.
     
  • Les applications du nœud logique 5 nm représentaient une part de marché de 25,3 % en 2024 et devraient croître avec un TCAC de 14,8 %, représentant ainsi le focus actuel de la fabrication en grande série des principales fonderies. Aux nœuds 5 nm, la technologie EUV est appliquée à 10-15 couches critiques, ce qui réduit la complexité du processus par rapport aux alternatives d'immersion à 193 nm et permet en même temps de répondre aux exigences de densité et de performance des transistors pour les processeurs et les SoC mobiles avancés.
     
  • Les applications du nœud logique 3 nm représentent 20,7 % du marché avec un TCAC de 15,5 %, ce qui en fait la frontière technologique pour le déploiement de la production. Les nœuds 3 nm utilisent l'EUV pour 15-20 couches critiques et nécessitent toutes les fonctionnalités des systèmes EUV de la génération actuelle, telles qu'une meilleure précision de superposition et moins d'effets stochastiques. Les principales fonderies augmentent la production de 3 nm avec l'EUV comme technologie qui le rend possible. technologie.

 

U.S. Extreme Ultraviolet Lithography Equipment Market, 2021-2034 (USD Billion)

L'Amérique du Nord détenait 25,2 % de la part de marché en 2024 et devrait croître avec un TCAC de 14,9 %. Cela est principalement influencé par le déploiement agressif de l'EUV par Intel pour la fabrication de nœuds avancés et la concentration des principales entreprises sans usine qui nécessitent des services de fabrication activés par l'EUV. Grâce à son investissement dans la technologie EUV High-NA, Intel devient non seulement le leader technologique, mais aussi le premier à disposer des capacités de lithographie de la prochaine génération.
 

  • Le marché américain des équipements de lithographie aux ultraviolets extrêmes valait 2 milliards de dollars USD en 2024 et devrait croître à un TCAC de 15 % tout au long de la période de prévision 2025 - 2034. Selon la loi CHIPS du gouvernement américain, 825 millions de dollars USD sont alloués aux programmes d'accélération de l'EUV qui soutiennent les capacités de fabrication nationale de semi-conducteurs et aident à réduire la dépendance à la production de produits activés par l'EUV étrangers. Cette aide gouvernementale est la raison pour laquelle le secteur privé s'investit activement dans la technologie EUV et la capacité de fabrication nationale.
     
  • Les fabricants peuvent tirer parti des subventions de la loi CHIPS et travailler aux côtés des usines américaines pour localiser les chaînes d'approvisionnement qui non seulement assureront le déploiement rapide de la technologie, mais rendront également le secteur de la production de semi-conducteurs haute performance plus compétitif.
     
  • Le marché des équipements de lithographie extrême ultraviolet au Canada devrait croître à un TCAC de 13,8 % d'ici 2034. Le marché des équipements de lithographie extrême ultraviolet (EUV) du Canada est en croissance en raison du soutien accru du gouvernement à la R&D des semi-conducteurs, des investissements croissants dans la fabrication avancée et de la demande croissante de puces de précision dans les secteurs de l'IA, de l'aérospatiale et des télécommunications. La collaboration entre les institutions de recherche et les fabricants de puces mondiaux non seulement inspirera, mais accélérera également l'adoption de la technologie.
     
  • Les fabricants peuvent mieux utiliser leur temps et leurs ressources s'ils se concentrent d'abord sur la construction de relations avec les centres de recherche canadiens, puis profitent des incitations gouvernementales pour mettre en place des opérations locales d'assemblage ou de test. De cette manière, ils contribueront à la résilience de la chaîne d'approvisionnement et à la compétitivité technologique régionale.
     

L'Europe détient 15,6 % du marché avec un TCAC de 13,3 % en 2024. La croissance est principalement due aux activités de recherche et développement intensives dans des institutions telles qu'IMEC et CEA-Leti, en plus des fabricants de semi-conducteurs spécialisés qui nécessitent des capacités EUV pour des applications de niche. Plusieurs initiatives de l'Union européenne, notamment l'Entreprise commune sur les puces, fournissent le financement nécessaire au développement et au déploiement de la technologie EUV.
 

  • Le marché des équipements de lithographie extrême ultraviolet en Allemagne devrait croître à un TCAC de 14,6 % d'ici 2034. La croissance du marché des équipements de lithographie extrême ultraviolet (EUV) en Allemagne est principalement stimulée par les investissements dans la R&D des semi-conducteurs, la demande croissante d'électronique dans le secteur automobile et l'innovation des puces influencée par l'Industrie 4.0. De nombreux programmes de financement soutenus par le gouvernement et les partenariats résultants entre les instituts de recherche et les fabricants de puces qui aident au déploiement rapide de la lithographie consolident la position de l'Allemagne en tant que hub de fabrication de semi-conducteurs haut de gamme.
     
  • Les fabricants en Allemagne devraient se concentrer sur la collaboration avec les acteurs industriels et automobiles et s'aligner sur les programmes de financement fédéraux. Ces activités les aideront non seulement à accélérer la commercialisation de la technologie EUV, mais aussi à établir des partenariats solides.
     
  • Le marché des équipements de lithographie extrême ultraviolet au Royaume-Uni devrait générer plus de 1,27 milliard de dollars d'ici 2034. L'un des facteurs qui contribuent au succès du marché des équipements de lithographie EUV au Royaume-Uni est l'augmentation des investissements dans la conception de semi-conducteurs, la recherche en informatique quantique et en nanotechnologie. Plusieurs initiatives du gouvernement, notamment la Stratégie nationale des semi-conducteurs et les collaborations académiques, sont les principaux contributeurs à l'innovation, ce qui rend l'EUV de plus en plus populaire pour la précision de la fabrication de puces et les applications technologiques de haute valeur.
     
  • Les fabricants devraient travailler en étroite collaboration avec les instituts de recherche au Royaume-Uni, tirer pleinement parti des subventions d'innovation et collaborer au développement des processus de semi-conducteurs activés par EUV pour améliorer les capacités technologiques locales et obtenir un avantage dans l'écosystème des puces émergentes du pays.
     

L'Asie-Pacifique a affirmé sa domination sur le marché avec une part de 56,2 % et un TCAC de 15,5 %, ce qui était largement attribué à la concentration des principaux fabricants de semi-conducteurs au monde et aux investissements vigoureux de la région dans les technologies de nœuds avancés. La région abrite donc TSMC, Samsung, SK Hynix, parmi d'autres, qui sont à leur tour les plus grands clients de la technologie EUV, ces entreprises représentant les principales fonderies et fabricants de mémoire.
 

  • Le marché chinois des équipements de lithographie extrême ultraviolet (EUV) était évalué à 1,86 milliard de dollars en 2024, représentant 38,1 % du marché total de l'APAC. La part de la Chine sur le marché de l'Asie-Pacifique est limitée en raison d'une série de mesures de contrôle des exportations qui restreignent l'accès à la technologie EUV, offrant ainsi une opportunité aux autres marchés. Par conséquent, le Japon, Singapour et le marché émergent de l'Asie du Sud-Est sont parmi les bénéficiaires de ces restrictions. Sony et Renesas, par exemple, sont des entreprises japonaises qui augmentent l'adoption de l'EUV pour des applications spécialisées en semi-conducteurs.
     
  • Les fabricants doivent explorer la faisabilité de coentreprises stratégiques, tirer pleinement parti des incitations gouvernementales et se concentrer sur l'adaptation technologique localisée afin de surmonter les défis réglementaires et d'utiliser efficacement l'écosystème chinois de fabrication de semi-conducteurs.
     
  • Le marché des équipements de lithographie extrême ultraviolet (EUV) en Corée du Sud devrait croître à un TCAC de 14,7 % pendant la période de prévision. La Corée du Sud est le deuxième marché le plus important de la région Asie-Pacifique avec des opérations de fonderie chez Samsung et la fabrication de mémoire par Samsung, qui ont vu les plus grands investissements en EUV pour les applications logiques et DRAM. Une collaboration entre Samsung et ASML a un investissement de 760 millions de dollars dans la recherche et la mise en œuvre de la technologie EUV à travers plusieurs lignes de produits.
     
  • Le marché des équipements de lithographie extrême ultraviolet (EUV) en Inde devrait dépasser 5,23 milliards de dollars d'ici 2024. Le marché indien des outils de lithographie supportant l'extrême ultraviolet (EUV) est alimenté par des programmes gouvernementaux de semi-conducteurs comme la « India Semiconductor Mission » qui vise le développement de la capacité de fabrication de puces domestiques. La tendance croissante de la fabrication électronique, de la numérisation et des incitations fournies aux acteurs étrangers des semi-conducteurs contribuent également à l'adoption de la technologie EUV, nécessaire pour répondre à la demande croissante de production de puces avancées.
     
  • Les fabricants devraient collaborer avec les usines indiennes, s'aligner sur les incitations gouvernementales et créer une base EUV précoce, ce qui sera propice à la production locale de puces et cohérent avec les objectifs d'autosuffisance à long terme du pays en matière de semi-conducteurs.
     

Le marché des équipements de lithographie extrême ultraviolet en Amérique latine devrait dépasser 257,7 millions de dollars d'ici 2034. La demande en calcul avancé et en électronique automobile est devenue le principal moteur des investissements de la région dans les systèmes de lithographie de nouvelle génération pour une meilleure miniaturisation des puces et une efficacité de production.
 

Le marché des machines de lithographie extrême ultraviolet (EUV) dans la région du Moyen-Orient et de l'Afrique devrait dépasser 552,8 millions de dollars d'ici 2034. La croissance du marché des équipements de lithographie EUV en MEA est principalement attribuée aux hubs d'assemblage de semi-conducteurs émergents aux Émirats arabes unis et en Israël, avec le soutien des investissements dans les secteurs de l'électronique, de l'aérospatiale et de la défense.
 

  • Le marché sud-africain des équipements de lithographie extrême ultraviolet était évalué à 31,9 millions de dollars en 2024. Le marché sud-africain des équipements de lithographie EUV croît avec l'intérêt accru pour la fabrication de microélectronique et les centres d'innovation basés sur la recherche et le développement. La concentration du gouvernement sur l'industrialisation numérique et le développement des compétences en semi-conducteurs favorise les partenariats avec les fabricants mondiaux d'équipements pour puces, et l'objectif est de renforcer les opportunités de fabrication locales et de réduire la dépendance aux technologies de semi-conducteurs importées.
     
  • Les fabricants devraient se concentrer sur des programmes de formation collaboratifs et des initiatives de transfert de technologie pour établir une domination précoce dans l'écosystème émergent des semi-conducteurs en Afrique du Sud et les efforts de production locale de puces basés sur la R&D.
     
  • Le marché des équipements de lithographie extrême ultraviolet (EUV) en Arabie saoudite devrait croître avec un TCAC de 13,3 % pendant la période de prévision. L'initiative Vision 2030 de l'Arabie saoudite accélère les investissements dans la fabrication de semi-conducteurs dans le cadre de ses objectifs de transformation numérique. La concentration du gouvernement sur les infrastructures intelligentes, l'électronique de défense et les technologies renouvelables stimule la demande d'outils de production de puces avancés, y compris les systèmes de lithographie EUV, pour soutenir la fabrication localisée et les efforts d'autonomie technologique.
     
  • Les fabricants devraient s'associer à des programmes d'innovation soutenus par l'État et à des clusters industriels pour aligner les déploiements EUV sur les objectifs stratégiques de l'Arabie saoudite en matière d'autosuffisance en semi-conducteurs et de diversification industrielle à haute technologie.
     
  • Le marché des équipements de lithographie extrême ultraviolet (EUV) aux Émirats arabes unis devrait dépasser 167 millions de dollars d'ici 2034. Les Émirats arabes unis se développent rapidement en tant que centre d'innovation en semi-conducteurs, avec des investissements importants dans l'IA, les villes intelligentes et les technologies de défense. Ses partenariats stratégiques avec des entreprises internationales de semi-conducteurs et son environnement réglementaire favorable stimulent l'adoption de la lithographie EUV afin de soutenir la conception, les tests et l'emballage avancés de puces locales.
     

Part de marché des équipements de lithographie extrême ultraviolet

  • La part combinée des cinq premiers acteurs, soit 87,61 %, comprend les systèmes de scanners d'ASML ainsi que les fournisseurs de composants critiques qui forment l'écosystème EUV essentiel. ZEISS entretient une relation exclusive avec ASML pour les systèmes optiques EUV, fournissant des miroirs de précision et des assemblages optiques qui définissent les performances du système. Chaque système EUV nécessite 10 à 11 miroirs de précision fabriqués avec des tolérances mesurées en picomètres, représentant une valeur de système optique de plusieurs millions de dollars par scanner, selon les recherches de ZEISS.
     
  • Le marché des équipements de lithographie EUV dans l'Union européenne est très concentré en termes de paysage concurrentiel, où ASML Holding N.V. est le principal acteur détenant 72,5 % du segment de marché des équipements de lithographie plus large et près de 100 % du marché des systèmes de scanners EUV commerciaux. La raison de la position de leader d'ASML sur le marché est un investissement ciblé dans le développement de la technologie EUV depuis plus de 20 ans, qui a inclus la collaboration avec les principaux fabricants de semi-conducteurs, les institutions de recherche financées par l'État et les fournisseurs de composants.
     
  • Coherent Corporation, après l'acquisition des systèmes et composants laser cohérents, est le leader de la technologie des sources de lumière extrême UV. Les lasers CO2 haute puissance de l'entreprise sont les principaux composants des sources EUV à plasma laser produit (LPP), chaque système nécessitant plusieurs modules laser fonctionnant à des niveaux de puissance kilowatt. Selon Coherent Corporation, la position de l'entreprise sur le marché est renforcée par le développement continu des technologies laser de prochaine génération nécessaires pour les systèmes EUV à haute NA.
     
  • Trumpf SE + Co. KG, avec son expertise en lasers industriels et ses collaborations avec les développeurs de sources EUV, est bien positionnée pour développer davantage la technologie laser nécessaire aux sources EUV. La puissance maximale du système laser de l'entreprise en fait un composant déterminant dans l'architecture des sources EUV. Selon les résultats des recherches menées par Trumpf, l'entreprise a des développements en cours visant à améliorer la puissance et la fiabilité, nécessaires pour la fabrication à grande échelle.
     
  • KLA Corporation est un leader en métrologie et en équipements d'inspection EUV, aidant à fournir les systèmes de mesure spécialisés nécessaires pour le contrôle des processus EUV et l'optimisation du rendement. Les systèmes d'inspection actinique de KLA fonctionnent aux longueurs d'onde EUV pour détecter les défauts et mesurer les dimensions critiques avec une précision subnanométrique, pouvant ainsi commander des prix très élevés en raison de leurs capacités ultra-précises, selon KLA Corporation.
     

Entreprises du marché des équipements de lithographie extrême ultraviolet

Les principales entreprises opérant sur le marché des équipements de lithographie extrême ultraviolet (EUV) comprennent :
 

  • ASML Holding N.V.
  • Coherent Corporation
  • Trumpf SE + Co. KG
  • Jenoptik AG
  • KLA Corporation
  • Applied Materials, Inc.
  • Lam Research Corporation
  • Nikon Corporation
  • Canon Inc.
  • Gigaphoton Inc.
  • NuFlare Technology, Inc.
  • Lasertec Corporation
  • Veeco Instruments Inc.
  • SUSS MicroTec SE
  • EV Group E. Thallner GmbH
  • SET Corporation
  • Oxford Instruments plc
  • Plasma-Therm LLC
  • Oxford Instruments plc
  • Plasma-Therm LLC

     

Les principaux acteurs du marché des équipements de lithographie extrême ultraviolet présentent un écosystème complet qui va au-delà de l'intégration des systèmes pour inclure des composants critiques, des matériaux et des services de support. La structure du marché reflète la nature extrêmement spécialisée de la technologie EUV et la longue chaîne d'approvisionnement nécessaire pour fournir des systèmes de lithographie fonctionnels.
 

ASML Holding N.V. se distingue comme le leader incontesté des systèmes de scanners EUV avec sa série TWINSCAN NXE représentant la génération actuelle de systèmes EUV de production, tandis que le système TWINSCAN EXE:5000 High-NA définit la prochaine frontière technologique. Coherent Corporation occupe une position critique dans la technologie des sources de lumière EUV grâce à ses systèmes et composants laser haute puissance. L'expertise industrielle de la société en matière de lasers permet les lasers CO2 de classe kilowatt nécessaires pour les sources EUV à plasma produit par laser (LPP)
 

Jenoptik AG propose des composants et systèmes optiques de précision qui sont essentiels à l'écosystème EUV. Cela inclut des optiques spécialisées et des équipements de métrologie. La technologie de fabrication de précision et des systèmes optiques de la société aide non seulement au développement des scanners EUV, mais soutient également les exigences de métrologie au niveau des usines.
 

Complétant les systèmes de lithographie EUV, Applied Materials, Inc. propose des équipements de processus et des solutions matérielles qui peuvent être intégrés de manière transparente. Les démarches stratégiques de l'entreprise consistent en la création de processus de dépôt et de gravure compatibles avec l'EUV, l'utilisation de matériaux avancés pour les applications EUV, et le développement de solutions de processus intégrées qui maximisent les performances de l'EUV.
 

Veeco Instruments Inc., SUSS MicroTec SE, EV Group E. Thallner GmbH, SET Corporation, Oxford Instruments plc et Plasma-Therm LLC sont les six contributeurs qui facilitent la mise en œuvre et l'exploitation des usines EUV avec des équipements de processus spécialisés, des systèmes de manipulation de matériaux et d'autres technologies complémentaires.
 

Actualités de l'industrie des équipements de lithographie extrême ultraviolet

  • En octobre 2024, Coherent Corporation a annoncé le développement de systèmes laser CO2 de 50 kW pour les sources EUV de prochaine génération, représentant une augmentation de puissance de 2x par rapport aux systèmes actuels et permettant la mise à l'échelle de la puissance de source requise pour la fabrication EUV High-NA selon Coherent Corporation.
     
  • En mars 2024, Lasertec a lancé une nouvelle génération de son système d'inspection de masques extrême ultraviolet (EUV) actinique, l'ACTIS A300. Ce système est un composant critique dans la fabrication de semi-conducteurs avancés car il utilise la même longueur d'onde de lumière de 13,5 nm que la lithographie EUV pour détecter les défauts.
     

Le rapport de recherche sur le marché des équipements de lithographie extrême ultraviolet comprend une couverture approfondie de l'industrie avec des estimations et des prévisions en termes de revenus (milliards de USD) et de volume (unités) de 2021 à 2034, pour les segments suivants :

Marché, par type de technologie

  • Systèmes EUV standard (NA 0,33)
    • Systèmes NXE:3400C
    • Systèmes NXE:3600D
    • Systèmes NXE:3800E 
  • Systèmes EUV High-NA (NA 0,55)  
    • Systèmes EXE:5000
    • Systèmes EXE:5200B
    • Systèmes High-NA de prochaine génération

Marché, par type d'équipement

  • Scanners EUV       
  • Systèmes optiques EUV        
    • Systèmes d'éclairage
    • Optique de projection
    • Systèmes de miroirs et revêtements multicouches 
  • Sources de lumière EUV
    • Systèmes laser CO2
    • Équipements de génération de plasma
    • Systèmes de conditionnement de puissance
  • Masques et supports EUV        
    • Supports de substrat de masque
    • Systèmes de pellicules
    • Équipements de fabrication de masques
  • Équipements de métrologie et d'inspection EUV 
    • Systèmes d'inspection de défauts
    • Systèmes de métrologie de superposition
    • Systèmes de mesure des dimensions critiques
  • Systèmes de support EUV       
    • Systèmes sous vide
    • Équipements d'abattement
    • Systèmes de contrôle environnemental
  • Logiciels et systèmes informatiques EUV
    • Logiciels de lithographie informatique
    • Logiciels de contrôle de processus
    • Logiciels de conception de masques

Marché, par application de nœud technologique  

  • Nœud logique 7nm   
  • Nœud logique 5nm   
  • Nœud logique 3nm   
  • Nœud logique 2nm   
  • Nœuds logiques inférieurs à 2nm      
  • DRAM avancé (classe 10nm et moins)  
  • NAND Flash avancé     

Marché, par type d'utilisation finale     

  • Fonderies de semi-conducteurs         
  • Fabricants de dispositifs intégrés (IDM)
  • Fabricants de mémoire  

Marché, par secteur d'utilisation finale

  • Mobile et électronique grand public  
  • Semi-conducteurs automobiles       
  • Intelligence artificielle et apprentissage automatique          
  • Centres de données et informatique haute performance     
  • Infrastructure 5G et télécommunications           
  • Applications industrielles et IoT       
  • Aérospatiale et défense                  

Les informations ci-dessus sont fournies pour les régions et pays suivants :

  • Amérique du Nord
    • États-Unis
    • Canada
  • Europe
    • Royaume-Uni
    • Allemagne
    • France
    • Italie
    • Espagne
    • Russie
  • Asie-Pacifique
    • Chine
    • Inde
    • Japon
    • Corée du Sud
    • ANZ 
  • Amérique latine
    • Brésil
    • Mexique 
  • MEA
    • Émirats arabes unis
    • Arabie saoudite
    • Afrique du Sud

 

Auteurs: Suraj Gujar,
Questions fréquemment posées(FAQ):
Quelle est la taille du marché de l'industrie des équipements de lithographie extrême ultraviolet (EUV) en 2024 ?
La taille du marché était de 8,66 milliards de dollars en 2024, avec un TCAC de 14,9 % prévu d'ici 2034, porté par la demande croissante pour les nœuds de semi-conducteurs avancés et la miniaturisation des puces.
Quelle est la taille actuelle du marché des équipements de lithographie extrême ultraviolet en 2025 ?
La taille du marché devrait atteindre 9,71 milliards de dollars en 2025.
Quelle est la valeur projetée du marché des équipements de lithographie extrême ultraviolet d'ici 2034 ?
Le marché devrait atteindre 33,91 milliards de dollars d'ici 2034, porté par l'adoption de la fabrication à haut volume, l'intégration des systèmes EUV à haute NA et les développements des nœuds inférieurs à 2 nm.
Quel type d'équipement a dominé l'industrie de la lithographie EUV en 2024 ?
Les scanners EUV ont dominé le marché avec une part de 50,3 % en 2024, affichant une croissance projetée de 15,8 % en CAGR. Leur domination provient de leur rôle central dans le traitement des plaquettes et la réduction des nœuds des semi-conducteurs.
Quelle était la part de marché des systèmes optiques EUV en 2024 ?
Les systèmes optiques EUV représentaient 15 % de part de marché en 2024, avec un taux de croissance annuel composé de 14,3 %, soutenu par la demande croissante pour des miroirs et des optiques de précision fournis dans le cadre de partenariats exclusifs, notamment par ZEISS.
Quelle technologie de nœud domine le marché de la lithographie EUV ?
Le nœud logique de 7 nm a dominé le marché avec une part de 29,1 % en 2024, croissant à un TCAC de 14 % alors que les fabricants exploitent l'EUV pour une production à haut volume et une précision de motif améliorée.
Quelle est la part de marché du segment de nœud logique 5 nm en 2024 ?
Le segment des nœuds logiques de 5 nm a représenté 25,3 % du marché en 2024, avec un TCAC de 14,8 %, porté par son adoption généralisée dans les processeurs avancés et les SoC nécessitant une haute densité de transistors.
Quelle région domine le marché des équipements de lithographie EUV ?
Le marché américain était évalué à 2 milliards de dollars en 2024, avec une croissance attendue de 15 % en CAGR. Cette croissance est portée par le CHIPS Act, qui alloue 825 millions de dollars aux programmes nationaux d'accélérateurs EUV et à la fabrication avancée de semi-conducteurs.
Qui sont les principaux acteurs du marché des équipements de lithographie extrême ultraviolet ?
Les principaux acteurs incluent ASML Holding N.V., Coherent Corporation, Trumpf SE + Co. KG, Jenoptik AG, KLA Corporation, Applied Materials Inc., Lam Research Corporation, Nikon Corporation, Canon Inc. et Gigaphoton Inc.
Quelles sont les tendances à venir dans l'industrie des équipements de lithographie EUV ?
Les principales tendances incluent la transition vers des systèmes EUV à NA élevé pour les nœuds inférieurs à 2 nm, l'intégration de la lithographie computationnelle pilotée par l'IA et l'extension de l'utilisation de l'EUV aux applications de mémoire avancée (DRAM, NAND) et d'emballage hétérogène.
Auteurs: Suraj Gujar,
Trust Factor 1
Trust Factor 2
Trust Factor 1
Détails du rapport Premium

Année de référence: 2024

Entreprises couvertes: 19

Tableaux et figures: 868

Pays couverts: 18

Pages: 170

Télécharger le PDF gratuit

Top
We use cookies to enhance user experience. (Privacy Policy)