极紫外(EUV)光刻设备市场规模——按技术类型、设备类型、技术节点应用、终端用途类型及终端行业分类,增长预测(2025-2034年)

报告 ID: GMI15195   |  发布日期: November 2025 |  报告格式: PDF
  下载免费 PDF

极紫外光刻设备市场规模

2024年全球极紫外光刻设备市场规模估计为86.6亿美元,2024年销量为40台。预计市场规模将从2025年的97.1亿美元增长至2030年的183.8亿美元,2034年达到339.1亿美元,销量为142台,预计在2025-2034年的预测期内,市场价值复合年增长率为14.9%,销量复合年增长率为13.8%。

极紫外光刻设备市场

  • 极紫外光刻设备市场持续增长,主要是由于半导体行业向现代工艺节点转型,以及现代电子产品复杂度的提高。2024年市场价值达86.6亿美元,凸显了EUV技术对未来半导体制造能力的重要性。
  • 从2025年的97.1亿美元增长至2034年的339.1亿美元,复合年增长率为14.9%,远高于光刻市场的增长水平。这主要是由于EUV系统部署量的大幅增加。行业路线图显示,主要晶圆厂计划在2030年前累计安装超过500台EUV系统。
  • 市场规模增长受益于EUV采用的单位经济学,其中每台系统成本在2-4亿美元之间,但被技术制造先进节点的能力所超越,否则只能通过复杂且昂贵的多重光刻技术实现。总可地址市场计算不仅包括EUV扫描系统,还涵盖整个价值链,包括光源、光学元件、掩膜、测量和支持。
  • 按地区划分的市场规模反映了先进半导体制造的分布情况,其中亚太地区(包括台积电和三星)占据56.2%的市场份额。北美的25.2%份额则来自英特尔的积极EUV部署以及领先无厂半导体公司对先进节点的需求。
  • 市场评估方法包括直接设备销售价值和涵盖服务合同、耗材以及系统升级价值的市场系统。每台EUV系统的年服务收入在1500万-2500万美元之间,这对于理解全面市场估值至关重要,同时也为设备供应商带来了持续的收入。

极紫外光刻设备市场趋势

  • 推动市场增长的主要因素是行业从传统的193nm浸没式光刻向EUV过渡,用于先进节点制造。这一变化将从根本上改变半导体制造流程,从复杂的多重光刻方法转向更简单的单次曝光方法。过渡时间表显示出加速的大规模采用,如EUV层数每片芯片的增加。在7nm节点中,EUV层数从5-10层增加到3nm节点的15-20层,预计在2nm制造中将达到25层以上。
  • 高数值孔径(NA)极紫外(EUV)系统的引入标志着光刻技术的下一步发展,以实现2纳米(nm)及以下节点的分辨率要求。高NA EUV系统采用数值孔径为0.55的光学元件,而非0.33,从而实现8nm的最小特征尺寸,而非13nm。
解决方案分辨率的提升对于突破现有技术已知和定义的极限,以维持摩尔定律的持续发展至关重要。例如,ASML的TWINSCAN EXE:5000高NA EUV系统售价为3.7亿至4亿美元,而其标准EUV系统售价为2亿美元,该系统于2023年12月首次交付给英特尔,2024年底后交付给台积电。领先晶圆厂发布的高NA EUV设备部署路线图显示,计划从2024年至2025年开始安装设备以开发2纳米节点,并计划在2026年至2027年进行量产制造。 另一个推动市场增长的重要原因是从传统的193纳米浸没光刻技术转向EUV技术用于先进节点制造,以及该技术在行业中的采用。这一转变标志着半导体制造方法的变革,包括放弃复杂的多层图案化工艺,转而采用EUV单次曝光方法。采用率表明,随着EUV层数的增加,市场增长也会相应增加。目前在7纳米节点上,每片芯片的EUV层数预计将从5-10层增加到2纳米节点的25层,3纳米节点的15-20层。

极紫外光刻设备市场分析

极紫外光刻设备市场,按技术类型,2021-2034年(十亿美元)

从技术类型来看,该市场被划分为标准EUV系统和高NA EUV系统。

  • 标准EUV系统仍在使用193纳米浸没光刻技术,数值孔径为0.33的光学系统,可制造7纳米、5纳米,甚至早期3纳米工艺节点。这表明行业正逐步从旧方法过渡到新的EUV(极紫外)技术。
  • 这些标准EUV系统可实现约13纳米的最小特征尺寸,并且足够快以用于实际生产,每小时可处理170-200片晶圆。标准EUV系统的复合年增长率预计为14.5%,反映了其在已建立的先进节点上的持续部署以及在存储器应用中的扩展。
  • 高NA EUV系统是正在开发中的光刻系统,具有更高的数值孔径(0.55 NA),可打印更小的特征,能够实现8纳米的最小特征尺寸,适用于2纳米和亚2纳米工艺节点。高NA系统的17.6%的复合年增长率反映了该技术从研发向早期生产的转移,这一转移在2023-2024年由英特尔和台积电实现。
  • 尽管高NA系统的成本高达3.7亿至4亿美元,远高于传统EUV系统,但从长远来看,它们能够节省成本和复杂性,因为它们减少了对双重图案化步骤的需求,而这些步骤在旧系统中是制造非常小特征所必需的。

    极紫外光刻设备市场,按设备类型,2024年

    根据设备类型,极紫外光刻设备市场被细分为EUV扫描仪、EUV光学系统、EUV光源、EUV掩模与空白片、EUV测量与检测设备、EUV支持系统以及EUV软件与计算系统。

    • 设备类型划分突显了EUV光刻执行所需的详细生态系统,其中EUV扫描仪占据50.3%的市场份额,并预计在2034年前以15.8%的复合年增长率增长。扫描仪的功率构成了光刻过程的核心,且价格极高,每台成本在2亿美元至4亿美元之间,具体取决于其功能。
    • EUV光学系统占市场份额的15%,复合年增长率为14.3%,涉及精密镜面、收集器和光学组件,这些组件决定了EUV系统的性能。ZEISS与ASML在EUV光学方面有独家供应协议,每个系统需要10-11个精密镜面,对制造商的精度要求极高,精确度需达到皮米级别。
    • EUV光源占市场份额的14.2%,复合年增长率为15%,是EUV生态系统中技术挑战最大的组件之一。当前系统使用强大的CO2激光轰击微小的锡滴,产生250-300瓦特的EUV光。为了满足高NA扫描仪的需求,光源功率需要扩展至500+瓦特,使其成为关键的发展领域。

    根据技术节点应用,极紫外光刻设备市场被细分为7nm逻辑节点、5nm逻辑节点、3nm逻辑节点、2nm逻辑节点、次2nm逻辑节点、先进DRAM(10nm级及以下)和先进NAND闪存。

    • 7nm逻辑节点应用处于前沿,占市场份额的29.1%,复合年增长率为14%。这一细分市场是EUV技术的首个重要商业部署,其中EUV与多重光刻的经济效益在高产量制造中达到了收支平衡点。
    • 5nm逻辑节点应用在2024年占市场份额的25.3%,并预计以14.8%的复合年增长率增长,因此代表了领先晶圆厂当前高产量制造的重点。在5nm节点,EUV技术应用于10-15个关键层,与193nm浸没替代方案相比,减少了工艺复杂性,同时满足了先进处理器和移动SoC的晶体管密度和性能要求。
    • 3nm逻辑节点应用占市场的20.7%,复合年增长率为15.5%,因此是生产部署的技术前沿。3nm节点使用EUV进行15-20个关键层,并需要当前EUV系统的所有功能,如更好的覆盖精度和更少的随机效应。顶级晶圆厂正在增加3nm生产,EUV技术使其成为可能。

    美国极紫外光刻设备市场,2021-2034年(十亿美元)

    北美在2024年占市场份额的25.2%,并预计以14.9%的复合年增长率增长。这主要受到英特尔在先进节点制造中积极部署EUV技术的影响,以及需要EUV制造服务的主要无晶圆厂公司的集中。通过投资高NA EUV技术,英特尔不仅成为技术领导者,还成为首个拥有下一代光刻能力的公司。

    • 美国极紫外光刻设备市场在2024年价值20亿美元,并预计在2025-2034年预测期内以15%的复合年增长率增长。根据美国政府的《芯片法案》,拨款8.25亿美元用于EUV加速器项目,以支持国内半导体制造能力,并帮助减少对外国EUV产品的依赖。这一政府援助是私营部门积极投资EUV技术和国内制造能力的原因。
    • 制造商可以利用CHIPS法案的资助,与美国晶圆厂合作,本地化供应链,不仅能确保技术的快速部署,还能使高性能半导体生产行业更具竞争力。
    • 加拿大极紫外光刻设备市场预计到2034年将以13.8%的复合年增长率增长。加拿大极紫外(EUV)光刻设备市场的增长得益于政府对半导体研发的支持加强、先进制造业投资增加以及人工智能、航空航天和电信领域对精密芯片需求的扩大。研究机构与全球芯片制造商的合作不仅能激发技术采用,还能加速其推广。
    • 制造商如果首先与加拿大研究中心建立关系,然后利用政府激励措施设立本地组装或测试运营,就能更好地利用时间和资源。这样做不仅能增强供应链韧性,还能提升区域技术竞争力。

    欧洲占据市场15.6%的份额,2024年复合年增长率为13.3%。这一增长主要得益于IMEC和CEA-Leti等机构的广泛研发活动,以及需要EUV能力的特种半导体制造商。欧洲联盟的多项倡议,包括芯片联合行动,为EUV技术的开发和部署提供了必要的资金支持。

    • 德国极紫外光刻设备市场预计到2034年将以14.6%的复合年增长率增长。德国极紫外(EUV)光刻设备市场的增长主要受半导体研发投资、汽车电子需求增加以及受工业4.0影响的芯片创新推动。政府支持的多项资助计划以及研究机构与芯片制造商之间的合作,正在加速光刻技术的部署,巩固德国作为高端半导体制造中心的地位。
    • 德国的制造商应当重点与工业和汽车行业的企业合作,并与联邦资助计划保持一致。这些活动不仅能加速EUV技术的商业化,还能建立强大的合作伙伴关系。
    • 英国极紫外光刻设备市场预计到2034年将超过12.7亿美元。推动英国EUV光刻设备市场成功的因素之一是对半导体设计、量子计算和纳米技术研究的投资增加。政府的多项倡议,包括国家半导体战略以及学术界的合作,是创新的主要推动力,因此EUV在精密芯片制造和高价值技术应用方面越来越受欢迎。
    • 制造商应当与英国的研究机构密切合作,充分利用创新补贴,并参与EUV启用半导体工艺的开发,以提升本地技术能力,并在国家新兴的芯片生态系统中获得优势。

    亚太地区以56.2%的市场份额和15.5%的复合年增长率主导市场,这主要归功于全球顶尖半导体制造商的集中以及该地区对先进节点技术的大力投资。该地区因此成为台积电、三星、SK海力士等公司的所在地,而这些公司又是EUV技术的最大客户,因为它们代表了主要的晶圆代工厂和存储器制造商。

    • 2024年,中国极紫外(EUV)光刻设备市场规模达18.6亿美元,占亚太地区总市场份额的38.1%。由于一系列出口管制措施限制了对EUV技术的访问,中国在亚太市场的份额受到限制,从而为其他市场提供了机会。因此,日本、新加坡以及东南亚等新兴市场成为这些限制措施的受益者。例如,索尼和瑞萨等日本公司正在增加EUV技术在特种半导体应用中的采用率。
    • 制造商必须探索战略合资企业的可行性,充分利用政府激励措施,并专注于本地化技术适应,以克服监管挑战,并高效利用中国庞大的半导体制造生态系统。
    • 预计韩国极紫外(EUV)光刻设备市场在预测期内将以14.7%的复合年增长率增长。韩国是亚太地区第二大重要市场,三星的晶圆代工和三星的存储器制造业务在逻辑和DRAM应用方面投入了最大的EUV投资。三星与ASML的合作在多个产品线上投资了7.6亿美元用于EUV技术的研究和实施。
    • 预计2024年印度极紫外(EUV)光刻设备市场规模将超过52.3亿美元。印度支持极紫外(EUV)光刻工具的市场由政府支持的半导体计划推动,例如“印度半导体使命”,该计划旨在发展国内芯片制造能力。电子制造业的兴起、数字化进程以及对外国半导体企业的激励措施同样促进了EUV技术的采用,以满足快速增长的先进节点芯片生产需求。
    • 制造商应与印度晶圆厂合作,利用政府激励措施,建立早期的EUV基础,这将有助于本地芯片生产,并与国家长期半导体自给自足的目标保持一致。

    拉丁美洲极紫外(EUV)光刻设备市场预计将在2034年超过2.577亿美元。该地区对先进计算和汽车电子的需求成为推动下一代光刻系统投资的主要动力,以提高芯片微型化和生产效率。

    预计2034年中东和非洲地区极紫外(EUV)光刻机市场将超过5.528亿美元。中东和非洲地区EUV光刻设备市场的增长主要归因于阿联酋和以色列等国家正在兴起的半导体组装中心,以及电子、航空航天和国防领域的投资支持。

    • 2024年,南非极紫外(EUV)光刻设备市场规模达3190万美元。南非EUV光刻设备市场随着对微电子制造和基于研发的创新中心的兴趣增加而增长。政府对数字工业化和半导体技能发展的重视促进了与全球芯片设备制造商的合作,目标是加强本地制造能力,减少对进口半导体技术的依赖。
    • 制造商应专注于合作培训计划和技术转移倡议,以在南非新兴的半导体生态系统和本地基于研发的芯片生产努力中建立早期主导地位。
    • 沙特阿拉伯极紫外光刻设备市场预计在预测期内将以13.3%的复合年增长率增长。沙特阿拉伯的2030愿景倡议正在加速对半导体制造的投资,作为其数字化转型目标的一部分。政府对智能基础设施、国防电子和可再生技术的重视,推动了对先进芯片生产工具的需求,包括极紫外光刻系统,以支持本地化制造和技术主权努力。
    • 制造商应与国家支持的创新计划和工业集群合作,将极紫外光刻部署与沙特阿拉伯在半导体自给自足和高科技产业多元化方面的战略目标保持一致。
    • 阿联酋极紫外光刻设备市场预计到2034年将超过1.67亿美元。阿联酋正在快速发展成为半导体创新中心,在人工智能、智慧城市和国防技术方面进行了大量投资。其与国际半导体公司的战略合作伙伴关系和有利的监管环境,推动了极紫外光刻的采用,以支持本土芯片设计、测试和先进封装。

    极紫外光刻设备市场份额

    • 前五大玩家的合计份额为87.61%,包括ASML的扫描系统及构成极紫外光刻生态系统的关键组件供应商。ZEISS与ASML在极紫外光学系统方面保持独家合作关系,提供定义系统性能的精密镜面和光学组件。每个极紫外系统需要10-11个精密镜面,制造公差以皮米计算,根据ZEISS的研究,每个扫描仪的光学系统价值高达数百万美元。
    • 欧盟极紫外光刻设备市场在竞争格局上高度集中,其中ASML Holding N.V.是主要玩家,占更广泛的光刻设备细分市场的72.5%份额,几乎占据商用极紫外扫描仪系统市场的100%。ASML在市场上的领先地位是由于其在极紫外技术开发方面的专注投资超过20年,这包括与顶级半导体制造商、政府资助的研究机构和组件供应商的合作。
    • 科赫公司在收购科赫激光系统和组件后,成为极紫外光源技术的领导者。该公司的高功率CO2激光是激光产生等离子体(LPP)极紫外光源的主要组件,每个系统需要多个激光模块,运行功率达到千瓦级。根据科赫公司的说法,公司在市场上的地位得益于持续开发下一代激光技术,这些技术是高NA极紫外系统所需的。
    • 特鲁普夫SE + Co. KG凭借其工业激光专业知识和与极紫外光源开发者的合作,有望进一步开发极紫外光源所需的激光技术。该公司的激光系统功率使其成为极紫外光源架构的决定性组件。根据特鲁普夫的研究结果,该公司正在进行旨于功率扩展和可靠性改进的持续开发,这对于大规模生产至关重要。
    • KLA公司是极紫外测量和检测设备的领导者,为极紫外工艺控制和产量优化提供所需的专业测量系统。KLA的动态检测系统在极紫外波长下运行,可以亚纳米精度检测缺陷并测量关键尺寸,因此由于其超高精度能力,可命令非常高的价格,如KLA公司所述。

    极紫外光刻设备市场公司

    极紫外(EUV)光刻设备市场中运营的主要公司包括:

    • ASML Holding N.V.
    • Coherent Corporation
    • Trumpf SE + Co. KG
    • Jenoptik AG
    • KLA Corporation
    • Applied Materials, Inc.
    • Lam Research Corporation
    • Nikon Corporation
    • Canon Inc.
    • Gigaphoton Inc.
    • NuFlare Technology, Inc.
    • Lasertec Corporation
    • Veeco Instruments Inc.
    • SUSS MicroTec SE
    • EV Group E. Thallner GmbH
    • SET Corporation
    • Oxford Instruments plc
    • Plasma-Therm LLC
    • Oxford Instruments plc
    • Plasma-Therm LLC

    极紫外光刻设备市场的主要参与者构成了一个完整的生态系统,不仅涉及系统集成,还包括关键组件、材料和支持服务。市场结构反映了EUV技术的高度专业化特性以及为交付功能性光刻系统所需的长供应链。

    ASML Holding N.V. 是EUV扫描仪系统的无可争议领导者,其TWINSCAN NXE系列代表了当前生产EUV系统的最新一代,而TWINSCAN EXE:5000高NA系统则定义了下一代技术前沿。Coherent Corporation通过其高功率激光系统和组件在EUV光源技术方面占据关键地位。该公司的工业激光专业知识使其能够提供用于激光产生等离子体(LPP)EUV光源所需的千瓦级CO2激光器

    Jenoptik AG提供精密光学组件和系统,这些组件和系统是EUV生态系统的重要组成部分。这包括专用光学和测量设备。该公司的精密制造和光学系统技术不仅有助于EUV扫描仪的开发,还支持了晶圆厂层面的测量需求。

    作为EUV光刻系统的补充,Applied Materials, Inc.提供可无缝集成的工艺设备和材料解决方案。该公司的战略举措包括创建EUV兼容的沉积和刻蚀工艺、使用EUV应用的先进材料,以及开发集成工艺解决方案,以最大化EUV的性能。

    Veeco Instruments Inc.、SUSS MicroTec SE、EV Group E. Thallner GmbH、SET Corporation、Oxford Instruments plc和Plasma-Therm LLC是六家为EUV晶圆厂实施和运营提供专用工艺设备、材料处理系统和其他配套技术的贡献者。

    极紫外光刻设备行业新闻

    • 2024年10月,Coherent Corporation宣布开发50kW CO2激光系统,用于下一代EUV光源,功率较当前系统提高2倍,为高NA EUV制造所需的光源功率扩展提供支持,据Coherent Corporation称。
    • 2024年3月,Lasertec发布了其新一代致极紫外(EUV)掩膜检测系统ACTIS A300。该系统是制造先进半导体的关键组件,因为它使用与EUV光刻相同的13.5 nm波长光来检测缺陷。

    极紫外光刻设备市场研究报告涵盖了行业的深入分析,包括2021年至2034年的收入(亿美元)和数量(台)的估计与预测,针对以下细分市场:

    按技术类型划分的市场

    • 标准EUV系统(NA 0.33)
      • NXE:3400C系统
      • NXE:3600D系统
      • NXE:3800E系统 
    • 高NA EUV系统(NA 0.55)
      • EXE:5000系统
      • EXE:5200B系统
      • 下一代高NA系统

    按设备类型划分的市场

    • EUV扫描仪       
    • 极紫外光学系统        
      • 照明系统
      • 投影光学
      • 镜面系统与多层涂层 
    • 极紫外光源
      • 二氧化碳激光系统
      • 等离子体发生设备
      • 功率调节系统
    • 极紫外掩膜与光刻板        
      • 掩膜基板
      • 防尘罩系统
      • 掩膜制造设备
    • 极紫外测量与检测设备 
      • 缺陷检测系统
      • 叠加测量系统
      • 临界尺寸测量系统
    • 极紫外支持系统       
      • 真空系统
      • 废气处理设备
      • 环境控制系统
    • 极紫外软件与计算系统
      • 计算光刻软件
      • 工艺控制软件
      • 掩膜设计软件

    按技术节点应用划分市场  

    • 7纳米逻辑节点   
    • 5纳米逻辑节点   
    • 3纳米逻辑节点   
    • 2纳米逻辑节点   
    • 亚2纳米逻辑节点      
    • 先进DRAM(10纳米级及以下)  
    • 先进NAND闪存     

    按终端用途类型划分市场     

    • 纯代工厂         
    • 集成设备制造商(IDMs)
    • 存储器制造商  

    按终端行业划分市场

    • 移动与消费电子  
    • 汽车半导体       
    • 人工智能与机器学习          
    • 数据中心与高性能计算     
    • 5G与通信基础设施           
    • 工业与物联网应用       
    • 航空航天与国防                  

    以下信息适用于以下地区和国家:

    • 北美
      • 美国
      • 加拿大
    • 欧洲
      • 英国
      • 德国
      • 法国
      • 意大利
      • 西班牙
      • 俄罗斯
    • 亚太地区
      • 中国
      • 印度
      • 日本
      • 韩国
      • 澳新地区 
    • 拉丁美洲
      • 巴西
      • 墨西哥 
    • 中东与非洲
      • 阿联酋
      • 沙特阿拉伯
      • 南非

作者:Suraj Gujar
常见问题 :
2024年极紫外(EUV)光刻设备行业的市场规模是多少?
2024年市场规模达86.6亿美元,预计到2034年将以14.9%的复合年增长率增长,主要受先进半导体工艺节点需求激增和芯片微型化趋势的推动。
2025年极紫外光刻设备市场规模是多少?
2034年极紫外光刻设备市场的预计价值是多少?
2024年,哪种设备类型主导了极紫外光刻设备行业?
2024年,EUV光学系统的市场份额是多少?
欧盟极紫外光刻市场由哪种工艺节点主导?
2024年5纳米逻辑节点细分市场的占有率是多少?
欧盟极紫外光刻设备市场由哪个地区主导?
极紫外光刻设备市场的主要参与者有哪些?
欧盟极紫外光刻设备行业未来有哪些趋势?
Trust Factor 1
Trust Factor 2
Trust Factor 1
高级报告详情

基准年: 2024

涵盖的公司: 19

表格和图表: 868

涵盖的国家: 18

页数: 170

下载免费 PDF
高级报告详情

基准年 2024

涵盖的公司: 19

表格和图表: 868

涵盖的国家: 18

页数: 170

下载免费 PDF
Top