Рынок оборудования для экстремально ультрафиолетовой (EUV) литографии по размеру - по типу технологии, по типу оборудования, по применению технологического узла, по типу конечного использования и по отрасли конечного использования, прогноз роста, 2025 - 2034

Идентификатор отчета: GMI15195   |  Дата публикации: November 2025 |  Формат отчета: PDF
  Скачать бесплатный PDF-файл

Размер рынка оборудования для экстремально-ультрафиолетовой литографии

Глобальный рынок оборудования для экстремально-ультрафиолетовой литографии оценивался в 8,66 млрд долларов США в 2024 году с объемом 40 единиц в 2024 году. Ожидается, что рынок вырастет с 9,71 млрд долларов США в 2025 году до 18,38 млрд долларов США в 2030 году и 33,91 млрд долларов США к 2034 году с объемом 142 единиц, при среднегодовом темпе роста стоимости 14,9% и среднегодовом темпе роста объема 13,8% в прогнозный период 2025–2034.

Рынок оборудования для экстремально-ультрафиолетовой (EUV) литографии

  • Рынок оборудования для экстремально-ультрафиолетовой литографии демонстрирует устойчивый рост благодаря переходу полупроводниковой отрасли на современные технологические узлы, а также из-за увеличения сложности современной электроники. Стоимость рынка в размере 8,66 млрд долларов США в 2024 году подчеркивает важность технологии EUV для будущих возможностей производства полупроводников.
  • Рост с 9,71 млрд долларов США в 2025 году до 33,91 млрд долларов США в 2034 году представляет собой среднегодовой темп роста 14,9%, что значительно выше роста рынков литографии. Это прямое следствие драматического увеличения развертывания систем EUV. Планы отрасли указывают на то, что основные фабрики планируют установить более 500 систем EUV в совокупности к 2030 году.
  • Рост размера рынка обусловлен экономикой внедрения EUV, при которой стоимость систем в размере от 200 до 400 млн долларов США перекрывается возможностью технологии производить передовые узлы, которые иначе были бы продуктивны только через сложные и дорогостоящие методы множественного паттернинга. Расчет общего адресуемого рынка выходит за рамки систем сканирования EUV и включает всю цепочку создания стоимости, состоящую из источников света, оптики, масок, метрологии и поддержки.
  • Размер рынка по регионам отражает распределение производства передовых полупроводников, при этом Азиатско-Тихоокеанский регион, включающий TSMC и Samsung, занимает 56,2% доли рынка. 25,2% доли Северной Америки обеспечивается агрессивным внедрением EUV компанией Intel и спросом на передовые узлы со стороны ведущих бесфабричных компаний.
  • Подход к оценке рынка включает прямую стоимость проданного оборудования и рыночную систему, охватывающую сервисные контракты, расходные материалы и стоимость модернизации систем. Годовая сервисная выручка на одну систему EUV, которая составляет от 15 до 25 млн долларов США, критически важна для понимания всесторонней оценки рынка в сочетании с повторяющимися доходами для поставщиков оборудования.

Тенденции рынка оборудования для экстремально-ультрафиолетовой литографии

  • Основным фактором, способствующим росту рынка, является переход отрасли от традиционной иммерсионной литографии с длиной волны 193 нм к EUV для производства передовых узлов. Это изменение кардинально изменит способ выполнения процесса производства полупроводников, перейдя от сложных методов множественного паттернинга к более простому методу однократного экспонирования с использованием EUV. График перехода демонстрирует ускоренное массовое внедрение, что иллюстрируется количеством слоев EUV на чип. Это увеличилось с 5-10 слоев в узлах 7 нм до 15-20 слоев в узлах 3 нм с прогнозами достижения 25+ слоев в производстве 2 нм.
  • Введение систем экстремально-ультрафиолетовой литографии с высокой числовой апертурой (NA) означает следующую эволюцию в литографии для достижения разрешения, необходимого для обработки узлов 2 нм и менее 2 нм. Системы EUV с высокой числовой апертурой используют оптику с числовой апертурой 0,55 вместо 0,33, достигая минимальных размеров элементов 8 нм вместо 13 нм.Вот переведенный HTML-контент: CRITICAL RULES: - Preserve ALL HTML tags, attributes, classes, IDs exactly as they are - Only translate the text content between HTML tags - Do not add any markdown formatting like ```html - Do not add any explanations, comments, or additional text - Return ONLY the translated HTML content - Maintain exact HTML structure and formatting - Do not wrap the output in code blocks HTML Content: Это улучшение разрешения критически важно для поддержания масштабирования по закону Мура за пределами известных и определенных пределов существующих технологий. Например, система ASML TWINSCAN EXE:5000 High-NA EUV стоит 370–400 млн долларов США, по сравнению с 200 млн долларов США за их стандартные EUV-системы, и была впервые поставлена Intel в декабре 2023 года, а затем TSMC в конце 2024 года. Планы внедрения оборудования для высоконапорной EUV-литографии, опубликованные ведущими фабриками, указывают на начало их установки в 2024–2025 годах для разработки узлов 2 нм, а также на внедрение в массовое производство в 2026–2027 годах.
  • Еще одной важной причиной роста рынка является переход от старой иммерсионной литографии с длиной волны 193 нм к технологии EUV для производства передовых узлов и ее внедрение в отрасли. Изменение означает изменение подхода к производству полупроводников, которое включает отказ от сложного многослойного трафаретного печати для передовых производств и вместо этого использование методологии EUV с однократным экспонированием. Темпы внедрения указывают на рост, аналогичный тому, как количество EUV-слоев на чип, вероятно, увеличится с текущих 5–10 на узле 7 нм до 25 на узле 2 нм, с 15–20 на узле 3 нм.

Анализ рынка оборудования для экстремально-ультрафиолетовой литографии

Рынок оборудования для экстремально-ультрафиолетовой литографии, по типу технологии, 2021–2034 (млрд долларов США)

По типу технологии рынок делится на стандартные EUV-системы и высоконапорные EUV-системы.

  • Стандартные EUV-системы, которые продолжают работать с иммерсионной литографией 193 нм с оптикой с числовым отверстием 0,33, могут производить узлы 7 нм, 5 нм и даже ранние узлы 3 нм. Это показывает, как отрасль постепенно переходит от старых методов к новой технологии EUV (экстремально-ультрафиолетовой литографии).
  • Эти стандартные EUV-системы обеспечивают минимальные размеры элементов примерно 13 нм и достаточно быстры для реального производства, обрабатывая около 170–200 пластин в час. Прогнозируется, что темпы роста (CAGR) для стандартных EUV-систем составят 14,5%, что отражает продолжающееся внедрение для установленных передовых узлов и расширение в области памяти.
  • Высоконапорные EUV-системы — это литографические системы в разработке, обладающие более высоким числовым отверстием (0,55 NA), что позволяет им печатать еще более мелкие элементы, способные достигать минимальных размеров элементов 8 нм, необходимых для узлов 2 нм и менее 2 нм. Темп роста (CAGR) 17,6% для высоконапорных систем отражает переход технологии от НИОКР к раннему производству, достигнутому Intel и TSMC в 2023–2024 годах.
  • Несмотря на то, что высоконапорные системы стоят невероятно дорого — 370–400 млн долларов США, что дороже обычных EUV-систем, они в долгосрочной перспективе экономят деньги и сложность, так как снижают необходимость в дополнительных этапах, называемых двойным трафаретированием, которые требуются в старых системах для создания очень мелких элементов.

Рынок оборудования для экстремально-ультрафиолетовой литографии, по типу оборудования, 2024

По типу оборудования рынок экстремально-ультрафиолетовой литографии делится на EUV-сканеры, EUV-оптические системы, EUV-источники света, EUV-маски и заготовки, EUV-метрологическое и контрольное оборудование, EUV-системы поддержки и EUV-программное обеспечение и вычислительные системы.

  • Разделение по типам оборудования подчеркивает детализированную экосистему, необходимую для выполнения EUV-литографии, при этом EUV-сканеры занимают наибольшую долю рынка в 50,3% и, как ожидается, будут расти с темпом 15,8% CAGR до 2034 года. Мощность сканеров составляет основу процесса литографии, и они чрезвычайно дорогие, каждая стоит 200–400 млн долларов США в зависимости от своих характеристик.
  • Оптические системы EUV занимают 15% доли рынка с темпом роста 14,3% CAGR и включают точные зеркала, коллекторы и оптические компоненты, обеспечивающие производительность системы EUV. У ZEISS есть эксклюзивные соглашения о поставках с ASML для EUV-оптики, где каждая система требует 10–11 точных зеркал, что предъявляет высокие требования к производителям в плане точности до пикометров.
  • Источники EUV-излучения занимают 14,2% доли рынка с темпом роста 15% CAGR, представляя собой один из самых технически сложных компонентов в экосистеме EUV. В настоящее время системы используют мощные CO2-лазеры для облучения мелких капель олова, что приводит к генерации EUV-излучения мощностью 250–300 ватт. Для удовлетворения требований сканеров High-NA мощность источника должна быть увеличена до 500+ ватт, что делает эту область ключевой для развития.

На основе применения технологических узлов рынок оборудования для экстремально-ультрафиолетовой литографии разделен на 7-нм логический узел, 5-нм логический узел, 3-нм логический узел, 2-нм логический узел, логические узлы менее 2 нм, продвинутую DRAM (класса 10 нм и ниже) и продвинутую NAND-память.

  • Применения 7-нм логического узла находятся на переднем крае с долей рынка 29,1% и темпом роста 14% CAGR. Этот сегмент является первым значительным коммерческим внедрением технологии EUV, где экономика EUV по сравнению с множественным паттернированием достигла точки безубыточности для массового производства.
  • Применения 5-нм логического узла в 2024 году занимали 25,3% доли рынка и, как ожидается, будут расти с темпом 14,8% CAGR, что делает их основным направлением массового производства ведущих фабрик. На 5-нм узлах технология EUV применяется к 10–15 критическим слоям, что снижает сложность процесса по сравнению с альтернативами на основе погружения 193 нм и одновременно обеспечивает требования к плотности транзисторов и производительности для передовых процессоров и мобильных SoC.
  • Применения 3-нм логического узла занимают 20,7% рынка с темпом роста 15,5% CAGR, что делает их технологическим рубежом для производственного внедрения. 3-нм узлы используют EUV для 15–20 критичных слоев и требуют всех характеристик современных систем EUV, таких как лучшая точность наложения и меньшее количество стохастических эффектов. Ведущие фабрики увеличивают производство 3-нм узлов с использованием EUV как технологии, которая это делает возможным.

Рынок оборудования для экстремально-ультрафиолетовой литографии в США, 2021-2034 (млрд долларов США)

Северная Америка занимала 25,2% доли рынка в 2024 году и, как ожидается, будет расти с темпом 14,9% CAGR. Это в основном обусловлено агрессивным внедрением EUV Intel для производства передовых узлов и концентрацией ведущих бесфабричных компаний, которым требуются услуги производства с использованием EUV. Благодаря инвестициям в технологию High-NA EUV Intel не только становится технологическим лидером, но и первой компанией, обладающей возможностями следующего поколения литографии.

  • Рынок оборудования для экстремально-ультрафиолетовой литографии в США оценивался в 2 млрд долларов США в 2024 году и, как ожидается, будет расти с темпом 15% CAGR в период прогноза 2025–2034 гг. Согласно CHIPS Act правительства США, 825 млн долларов выделено на программы EUV Accelerator, поддерживающие отечественные возможности производства полупроводников и помогающие снизить зависимость от производства зарубежных продуктов с использованием EUV. Эта государственная помощь является причиной активизации частного сектора в инвестициях в технологию EUV и отечественные производственные мощности.
  • Производители могут воспользоваться грантами CHIPS Act и работать вместе с американскими фабриками, чтобы локализовать цепочки поставок, что не только обеспечит быстрое внедрение технологий, но и сделает высокопроизводительное производство полупроводников более конкурентоспособным.
  • Рынок оборудования для экстремально-ультрафиолетовой литографии в Канаде, как ожидается, будет расти с CAGR 13,8% к 2034 году. Рост рынка экстремально-ультрафиолетовой (EUV) литографии в Канаде обусловлен увеличением государственной поддержки исследований и разработок в области полупроводников, ростом инвестиций в передовые производственные технологии и расширением спроса на точные чипы в секторах ИИ, аэрокосмической и телекоммуникационной отраслях. Сотрудничество между исследовательскими учреждениями и глобальными производителями чипов не только вдохновляет, но и ускоряет внедрение технологий.
  • Производители могут более эффективно использовать свое время и ресурсы, если сначала сосредоточатся на построении отношений с канадскими исследовательскими центрами, а затем воспользуются государственными льготами для создания локальных сборочных или тестовых операций. Таким образом, они будут способствовать устойчивости цепочек поставок и региональной технологической конкурентоспособности.

Европа занимает 15,6% рынка с CAGR 13,3% в 2024 году. Рост в основном обусловлен обширными исследованиями и разработками в таких учреждениях, как IMEC и CEA-Leti, а также производителями специализированных полупроводников, которым требуются EUV-возможности для узкоспециализированных применений. Несколько инициатив Европейского Союза, включая Chips Joint Undertaking, обеспечивают необходимые финансирование для разработки и внедрения EUV-технологий.

  • Рынок оборудования для экстремально-ультрафиолетовой литографии в Германии, как ожидается, будет расти с CAGR 14,6% к 2034 году. Рост рынка экстремально-ультрафиолетовой (EUV) литографии в Германии в основном обусловлен инвестициями в исследования и разработки полупроводников, увеличением спроса на электроники автомобильной отрасли и инновациями в области чипов, стимулируемыми Industry 4.0. Многочисленные программы финансирования, поддерживаемые правительством, и возникающие партнерства между исследовательскими институтами и производителями чипов, способствующие быстрому внедрению литографии, укрепляют позиции Германии как центра высокотехнологичного производства полупроводников.
  • Производителям в Германии следует сосредоточиться на сотрудничестве с промышленными и автомобильными игроками и согласовании с федеральными программами финансирования. Эти действия помогут им не только ускорить коммерциализацию EUV-технологий, но и создать прочные партнерства.
  • Рынок оборудования для экстремально-ультрафиолетовой литографии в Великобритании, как ожидается, превысит 1,27 млрд долларов США к 2034 году. Одним из факторов, способствующих успеху рынка экстремально-ультрафиолетовой литографии в Великобритании, является увеличение инвестиций в проектирование полупроводников, исследования в области квантовых вычислений и нанотехнологий. Несколько инициатив правительства, включая Национальную стратегию полупроводников, и сотрудничество академических учреждений являются основными факторами инноваций, благодаря чему EUV становится все более популярной для точного производства чипов и высокотехнологичных применений.
  • Производители должны тесно сотрудничать с исследовательскими институтами в Великобритании, максимально использовать инновационные гранты и участвовать в разработке EUV-процессов для производства полупроводников, чтобы повысить локальные технологические возможности и получить преимущество в развивающейся экосистеме чипов страны.

Азиатско-Тихоокеанский регион утвердил свое доминирование на рынке с долей 56,2% и CAGR 15,5%, что в основном обусловлено концентрацией ведущих мировых производителей полупроводников и активными инвестициями региона в передовые узловые технологии. Регион, таким образом, является домом для TSMC, Samsung, SK Hynix и других, которые, в свою очередь, являются крупнейшими покупателями EUV-технологий, поскольку эти компании представляют основные фабрики и производителей памяти.

  • Рынок оборудования для экстремально-ультрафиолетовой (EUV) литографии в Китае оценивался в 1,86 млрд долларов США в 2024 году с долей 38,1% от общего рынка АТР. Доля Китая на рынке Азиатско-Тихоокеанского региона ограничена из-за серии мер по контролю за экспортом, которые ограничивают доступ к технологии EUV, тем самым предоставляя возможность другим рынкам. В результате Япония, Сингапур и развивающийся рынок Юго-Восточной Азии являются одними из тех, кто извлекает выгоду из этих ограничений. Например, Sony и Renesas — это японские компании, которые увеличивают внедрение EUV для специализированных полупроводниковых приложений.
  • Производители должны изучить возможность стратегических совместных предприятий, максимально использовать государственные стимулы и сосредоточиться на локализованной адаптации технологий, чтобы преодолеть регуляторные вызовы и эффективно использовать огромную полупроводниковую производственную экосистему Китая.
  • Рынок оборудования для экстремально-ультрафиолетовой (EUV) литографии в Южной Корее, как ожидается, будет расти с темпом роста 14,7% в течение прогнозируемого периода. Южная Корея является вторым по значимости рынком в регионе Азиатско-Тихоокеанского региона с производственными мощностями Samsung и производством памяти Samsung, которые получили наибольшие инвестиции в EUV для логических и DRAM-приложений. Сотрудничество между Samsung и ASML включает инвестиции в размере 760 млн долларов США в исследования и внедрение технологий EUV по нескольким направлениям продукции.
  • Рынок оборудования для экстремально-ультрафиолетовой (EUV) литографии в Индии, как прогнозируется, превысит 5,23 млрд долларов США к 2024 году. Индийский рынок литографических инструментов, поддерживающих экстремально-ультрафиолетовую (EUV) литографию, стимулируется государственными программами по производству полупроводников, такими как «Индийская полупроводниковая миссия», направленная на развитие внутренней мощности по производству чипов. Растущая тенденция производства электроники, цифровизации и стимулы, предоставляемые иностранным полупроводниковым игрокам, также способствуют внедрению технологии EUV, которая необходима для удовлетворения растущего спроса на производство чипов передовых узлов.
  • Производители должны сотрудничать с индийскими фабриками, соответствовать государственным стимулам и создать раннюю базу EUV, что будет способствовать локальному производству чипов и соответствовать долгосрочным целям полупроводниковой самообеспеченности страны.

Рынок оборудования для экстремально-ультрафиолетовой литографии в Латинской Америке, как прогнозируется, превысит 257,7 млн долларов США к 2034 году. Спрос на передовые вычислительные и автомобильные электронные системы стал основным драйвером инвестиций региона в системы литографии следующего поколения для улучшения миниатюризации чипов и повышения производственной эффективности.

Рынок машин для экстремально-ультрафиолетовой (EUV) литографии в регионе Ближнего Востока и Африки, как ожидается, превысит 552,8 млн долларов к 2034 году. Рост рынка оборудования для EUV литографии в регионе Ближнего Востока и Африки в основном обусловлен развивающимися полупроводниковыми центрами сборки в ОАЭ и Израиле, при поддержке инвестиций в электроник, аэрокосмическую и оборонную отрасли.

  • Рынок оборудования для экстремально-ультрафиолетовой литографии в Южной Африке оценивался в 31,9 млн долларов США в 2024 году. Рынок оборудования для EUV литографии в Южной Африке растет благодаря увеличению интереса к производству микроэлектроники и инновационных центров, основанных на исследованиях и разработках. Акцент правительства на цифровой индустриализации и развитие полупроводниковых навыков способствует партнерству с мировыми производителями оборудования для чипов, и цель состоит в том, чтобы укрепить локальные возможности производства и снизить зависимость от импортных полупроводниковых технологий.
  • Производители должны сосредоточиться на совместных программах обучения и инициативах по передаче технологий, чтобы установить раннее доминирование в развивающейся полупроводниковой экосистеме Южной Африки и локальных усилий по производству чипов на основе НИОКР.
  • Рынок оборудования для экстремально-ультрафиолетовой литографии в Саудовской Аравии прогнозируется с темпом роста CAGR 13,3% в течение прогнозного периода. Инициатива Саудовской Аравии «Видение 2030» ускоряет инвестиции в производство полупроводников в рамках целей цифровой трансформации. Фокус правительства на умной инфраструктуре, оборонной электронике и возобновляемых технологиях стимулирует спрос на передовые инструменты для производства чипов, включая системы экстремально-ультрафиолетовой литографии, для поддержки локального производства и усилий по технологическому суверенитету.
  • Производители должны сотрудничать с государственными инновационными программами и промышленными кластерами, чтобы согласовать внедрение EUV с стратегическими целями Саудовской Аравии в области самообеспечения полупроводниками и диверсификации высокотехнологичной промышленности.
  • Рынок оборудования для экстремально-ультрафиолетовой литографии в ОАЭ, как ожидается, превысит 167 миллионов долларов США к 2034 году. ОАЭ быстро развиваются как центр инноваций в области полупроводников с значительными инвестициями в ИИ, умные города и оборонные технологии. Стратегические партнерства с международными компаниями-производителями полупроводников и благоприятная регуляторная среда способствуют внедрению экстремально-ультрафиолетовой литографии для поддержки местного проектирования, тестирования и передовых упаковок чипов.

Доля рынка оборудования для экстремально-ультрафиолетовой литографии

  • Объединенная доля пяти ведущих игроков в размере 87,61% включает сканерные системы ASML и поставщиков критически важных компонентов, которые формируют основную экосистему EUV. ZEISS поддерживает эксклюзивные отношения с ASML для систем EUV-оптики, предоставляя точные зеркала и оптические сборки, которые определяют производительность системы. Каждая EUV-система требует 10-11 точных зеркал, изготовленных с допусками, измеряемыми в пикометрах, что представляет собой стоимость оптической системы в несколько миллионов долларов за сканер, согласно исследованиям ZEISS.
  • Рынок оборудования для экстремально-ультрафиолетовой литографии в Европейском Союзе является высококонцентрированным в плане конкурентной среды, где ASML Holding N.V. является основным игроком, занимающим 72,5% доли рынка сегмента оборудования для литографии и почти 100% рынка коммерческих сканерных систем EUV. Причина лидирующего положения ASML на рынке заключается в фокусированных инвестициях в разработку EUV-технологий в течение более 20 лет, что включало сотрудничество с ведущими производителями полупроводников, научно-исследовательскими учреждениями, финансируемыми государством, и поставщиками компонентов.
  • После приобретения лазерных систем и компонентов Coherent Corporation является лидером в технологии источников экстремального УФ-излучения. Высокомощные CO2-лазеры компании являются основными компонентами источников EUV на основе лазерно-индуцированной плазмы (LPP), где каждая система требует нескольких лазерных модулей, работающих на уровне киловатт. Согласно Coherent Corporation, позиция компании на рынке укрепляется за счет непрерывной разработки лазерных технологий следующего поколения, необходимых для систем EUV с высоким числом отверстий (High-NA).
  • Trumpf SE + Co. KG, обладая экспертизой в области промышленных лазеров и сотрудничая с разработчиками источников EUV, находится в хорошем положении для дальнейшего развития лазерной технологии, необходимой для источников EUV. Максимальная мощность лазерной системы компании сделала ее определяющим компонентом в архитектуре источников EUV. Согласно результатам исследований, проведенных в Trumpf, компания ведет разработки, направленные на увеличение мощности и улучшение надежности, что необходимо для массового производства.
  • KLA Corporation является лидером в области метрологии и оборудования для контроля EUV, обеспечивая специализированные системы измерений, необходимые для контроля процесса EUV и оптимизации выхода. Актинические системы инспекции KLA работают на длинах волн EUV для обнаружения дефектов и измерения критических размеров с точностью до субнанометра, поэтому могут устанавливать очень высокие цены благодаря своей ультраточной способности, как указано в KLA Corporation.

Компании на рынке оборудования для экстремально-ультрафиолетовой литографии

Основные ведущие компании, работающие на рынке оборудования для экстремально ультрафиолетовой (EUV) литографии, включают:

  • ASML Holding N.V.
  • Coherent Corporation
  • Trumpf SE + Co. KG
  • Jenoptik AG
  • KLA Corporation
  • Applied Materials, Inc.
  • Lam Research Corporation
  • Nikon Corporation
  • Canon Inc.
  • Gigaphoton Inc.
  • NuFlare Technology, Inc.
  • Lasertec Corporation
  • Veeco Instruments Inc.
  • SUSS MicroTec SE
  • EV Group E. Thallner GmbH
  • SET Corporation
  • Oxford Instruments plc
  • Plasma-Therm LLC
  • Oxford Instruments plc
  • Plasma-Therm LLC

Основные игроки на рынке оборудования для экстремально ультрафиолетовой литографии представляют собой полный экосистему, которая выходит за рамки интеграции систем и включает критические компоненты, материалы и услуги поддержки. Структура рынка отражает чрезвычайно специализированную природу технологии EUV и длинную цепочку поставок, необходимую для поставки функциональных литографических систем.

ASML Holding N.V. является бесспорным лидером в системах сканирования EUV, и ее серия TWINSCAN NXE представляет собой текущее поколение производственных систем EUV, в то время как система TWINSCAN EXE:5000 High-NA определяет следующий технологический рубеж. Coherent Corporation занимает критическое положение в технологии источников EUV-излучения благодаря своим высокомощным лазерным системам и компонентам. Экспертиза компании в промышленных лазерах позволяет использовать CO2-лазеры класса киловатт, необходимые для лазерно-произведенной плазмы (LPP) источников EUV

Jenoptik AG предлагает точные оптические компоненты и системы, которые являются неотъемлемой частью экосистемы EUV. Это включает специализированную оптику и метрологическое оборудование. Точное производство и технологии оптических систем компании не только способствуют разработке сканеров EUV, но и поддерживают метрологические требования на уровне производства.

Дополняя системы EUV-литографии, Applied Materials, Inc. предлагает оборудование для обработки и материалы, которые могут быть безупречно интегрированы. Стратегические шаги компании включают создание совместимых с EUV процессов осаждения и травления, использование передовых материалов для EUV-приложений и разработку интегрированных процессных решений, которые максимизируют производительность EUV.

Veeco Instruments Inc., SUSS MicroTec SE, EV Group E. Thallner GmbH, SET Corporation, Oxford Instruments plc и Plasma-Therm LLC — это шесть участников, которые способствуют внедрению и эксплуатации EUV-фабрик с помощью специализированного процессного оборудования, систем обработки материалов и других сопутствующих технологий.

Новости отрасли экстремально ультрафиолетового литографического оборудования

  • В октябре 2024 года Coherent Corporation объявила о разработке 50-киловаттных CO2-лазерных систем для источников EUV следующего поколения, что представляет собой увеличение мощности в 2 раза по сравнению с текущими системами и позволяет масштабировать мощность источника, необходимую для производства High-NA EUV, согласно Coherent Corporation.
  • В марте 2024 года Lasertec выпустила новое поколение своей актинической экстремально ультрафиолетовой (EUV) системы инспекции масок, ACTIS A300. Эта система является критическим компонентом в производстве передовых полупроводников, поскольку она использует тот же световой луч с длиной волны 13,5 нм, что и EUV-литография, для обнаружения дефектов.

Отчет по исследованию рынка экстремально ультрафиолетового литографического оборудования включает глубокий анализ отрасли с оценками и прогнозами в терминах выручки (млрд долл. США) и объема (единиц) с 2021 по 2034 год, для следующих сегментов:

Рынок, по типу технологии

  • Стандартные системы EUV (NA 0.33)
    • Системы NXE:3400C
    • Системы NXE:3600D
    • Системы NXE:3800E 
  • Системы High-NA EUV (NA 0.55)  
    • Системы EXE:5000
    • Системы EXE:5200B
    • Системы High-NA следующего поколения

Рынок, по типу оборудования

  • Сканеры EUV       
  • Системы оптики EUV        
    • Системы освещения
    • Проекционная оптика
    • Системы зеркал и многослойные покрытия 
  • Источники света EUV
    • Системы CO2-лазеров
    • Оборудование для генерации плазмы
    • Системы обработки мощности
  • Маски и заготовки EUV        
    • Заготовки подложек масок
    • Системы пелликул
    • Оборудование для производства масок
  • Оборудование для метрологии и контроля EUV 
    • Системы контроля дефектов
    • Системы метрологии наложения
    • Системы измерения критических размеров
  • Системы поддержки EUV       
    • Вакуумные системы
    • Оборудование для утилизации
    • Системы контроля окружающей среды
  • Программное обеспечение и вычислительные системы EUV
    • Программное обеспечение для вычислительной литографии
    • Программное обеспечение для контроля процессов
    • Программное обеспечение для проектирования масок

Рынок, по применению в технологических узлах  

  • Логический узел 7 нм   
  • Логический узел 5 нм   
  • Логический узел 3 нм   
  • Логический узел 2 нм   
  • Логические узлы менее 2 нм      
  • Продвинутая DRAM (класс 10 нм и ниже)  
  • Продвинутая NAND-память     

Рынок, по типу конечного использования     

  • Чистые фабрики         
  • Интегрированные производители устройств (IDM)
  • Производители памяти  

Рынок, по отрасли конечного использования

  • Мобильные и потребительская электроника  
  • Автомобильные полупроводники       
  • Искусственный интеллект и машинное обучение          
  • Центры обработки данных и высокопроизводительные вычисления     
  • 5G и телекоммуникационная инфраструктура           
  • Промышленные и IoT-приложения       
  • Авиация и оборона                  

Приведенная выше информация предоставляется для следующих регионов и стран:

  • Северная Америка
    • США
    • Канада
  • Европа
    • Великобритания
    • Германия
    • Франция
    • Италия
    • Испания
    • Россия
  • Азиатско-Тихоокеанский регион
    • Китай
    • Индия
    • Япония
    • Южная Корея
    • АНЗ 
  • Латинская Америка
    • Бразилия
    • Мексика 
  • Ближний Восток и Африка
    • ОАЭ
    • Саудовская Аравия
    • Южная Африка

Авторы:Suraj Gujar
Часто задаваемые вопросы :
Какой размер рынка оборудования для экстремально ультрафиолетовой (EUV) литографии в 2024 году?
Размер рынка в 2024 году составил 8,66 млрд долларов США, с прогнозируемым CAGR в 14,9% до 2034 года, что обусловлено растущим спросом на передовые узлы полупроводников и миниатюризацию чипов.
Какой будет размер рынка оборудования для экстремального ультрафиолетового литографии в 2025 году?
Какая прогнозируемая стоимость рынка оборудования для экстремально ультрафиолетовой литографии к 2034 году?
Какой тип оборудования лидировал в индустрии оборудования для экстремального ультрафиолетового литографии в 2024 году?
Какой была доля рынка EUV Optical Systems в 2024 году?
Какой технологический узел доминирует на рынке EUV-литографии?
Какой доля рынка у сегмента логических узлов 5 нм в 2024 году?
Какой регион лидирует на рынке оборудования для экстремально ультрафиолетовой литографии?
Кто ключевые игроки на рынке оборудования для экстремально ультрафиолетовой литографии?
Какие тенденции ожидаются в индустрии оборудования для экстремального ультрафиолетового литографии?
Trust Factor 1
Trust Factor 2
Trust Factor 1
Детали премиум-отчета

Базовый год: 2024

Охваченные компании: 19

Таблицы и рисунки: 868

Охваченные страны: 18

Страницы: 170

Скачать бесплатный PDF-файл
Детали премиум-отчета

Базовый год 2024

Охваченные компании: 19

Таблицы и рисунки: 868

Охваченные страны: 18

Страницы: 170

Скачать бесплатный PDF-файл
Top